Motorola MPC8260 Instrukcja Użytkownika

Przeglądaj online lub pobierz Instrukcja Użytkownika dla Sprzęt komputerowy Motorola MPC8260. Motorola MPC8260 User Manual Instrukcja obsługi

  • Pobierz
  • Dodaj do moich podręczników
  • Drukuj
  • Strona
    / 100
  • Spis treści
  • BOOKMARKI
  • Oceniono. / 5. Na podstawie oceny klientów

Podsumowanie treści

Strona 1 - UserÕs Manual

MPC8260UM/D4/1999Rev. 0 MPC8260 PowerQUICC IIUserÕs Manualªª

Strona 2

x MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 7.2.5.2 Address Retry (ARTRY)...

Strona 3

2-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewTable 2-1 shows the bit deÞnitions for HID0. Table 2-1. HID0 Field Descriptions Bits

Strona 4

Index-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXPPC_ALRL, 4-29programming model, 4-17registers, 4-17SCC relative priority, 4-12SCPRR_H, 4-19

Strona 5

MOTOROLA Index Index-21INDEXfractional stop bits, 20-11handling errors, 20-12hunt mode, 20-10memory map, 20-4normal asynchronous mode, 20-3overview,

Strona 6

Index-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEX

Strona 7

OverviewPowerPC Processor CoreMemory MapSystem Interface Unit (SIU)ResetExternal Signals60x SignalsThe 60x BusClocks and Power ControlMemory Controlle

Strona 8

OverviewPowerPC Processor CoreMemory MapSystem Interface Unit (SIU)ResetExternal Signals60x SignalsThe 60x BusClocks and Power ControlMemory Controlle

Strona 9

Attention!This book is a companion to the PowerPC Microprocessor Family: The ProgrammingEnvironments, referred to as The Programming Environments Manu

Strona 11

MOTOROLA Chapter 2. PowerPC Processor Core 2-13Part I. Overview11 DPM Dynamic power management enable. 10 Dynamic power management is disabled.1 Fu

Strona 12

2-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview2.3.1.2.2 Hardware Implementation-Dependent Register 1 (HID1)The MPC8260 implementat

Strona 13

MOTOROLA Chapter 2. PowerPC Processor Core 2-15Part I. OverviewFigure 2-4. Hardware Implementation Register 1 (HID1) Table 2-2 shows the bit deÞnit

Strona 14

2-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview2.3.1.2.4 Processor Version Register (PVR)Software can identify the MPC8260Õs proces

Strona 15

2-17 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview¥ Load/store instructionsÑThese include integer and ßoating-point load and store inst

Strona 16

2-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewComputational instructions do not modify memory. To use a memory operand in acomputat

Strona 17

MOTOROLA Chapter 2. PowerPC Processor Core 2-19Part I. OverviewPowerPC microprocessors control the following memory access modes on a page or block

Strona 18

2-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewFigure 2-6. Data Cache OrganizationBecause the processor core data cache tags are sin

Strona 19

MOTOROLA Chapter 2. PowerPC Processor Core 2-21Part I. Overviewmaximizing the efÞciency of the internal bus without sacriÞcing coherency of the dat

Strona 20

MOTOROLA Contents xi CONTENTS ParagraphNumberTitlePageNumber 8.4.3.1 Transfer Type Signal (TT[0Ð4]) Encoding ...

Strona 21

2-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overviewways 0, 1, and 2 but it is not possible to lock just way0 and way2). When using way l

Strona 22

MOTOROLA Chapter 2. PowerPC Processor Core 2-23Part I. Overviewan instruction-caused exception in the exception handler. SRR0 and SRR1 should also

Strona 23

2-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewAlthough exceptions have other characteristics as well, such as whether they are mask

Strona 24

MOTOROLA Chapter 2. PowerPC Processor Core 2-25Part I. OverviewISI 00400 An ISI exception is caused when an instruction fetch cannot be performed f

Strona 25

2-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview2.5.3 Exception PrioritiesThe exception priorities for the processor core are unchan

Strona 26

MOTOROLA Chapter 2. PowerPC Processor Core 2-27Part I. Overview2.6.1 PowerPC MMU ModelThe primary functions of the MMU are to translate logical (e

Strona 27

2-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview2.6.2 MPC8260 Implementation-SpeciÞc MMU FeaturesThe instruction and data MMUs in th

Strona 28

MOTOROLA Chapter 2. PowerPC Processor Core 2-29Part I. Overview2.7 Instruction TimingThe processor core is a pipelined superscalar processor. A pi

Strona 29

2-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewThe new latency is reßected in Table 2-6.2.8 Differences between the MPC8260Õs Core

Strona 30

MOTOROLA Chapter 2. PowerPC Processor Core 2-31Part I. OverviewAddition of speed-for-power functionalityThe processor core implements an additional

Strona 31

xii MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 9.8 System Clock Control Register (SCCR) ...

Strona 32

2-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview

Strona 33 - ILLUSTRATIONS

MOTOROLA Chapter 3. Memory Map 3-1Chapter 3 Memory Map3030The MPC8260's internal memory resources are mapped within a contiguous block ofmemo

Strona 34

3-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview10030 PPC_ALRL 60x bus arbitration-level register low (next 8 clients)32 bits 4.3.2.3/

Strona 35

MOTOROLA Chapter 3. Memory Map 3-3Part I. Overview10134 OR6 Option register bank 6 32 bits 10.3.2/10-1610138 BR7 Base register bank 7 32 bits 10.3.

Strona 36

3-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewSystem Integration Timers10200Ð10 21F Reserved Ñ 32 bytes10220 TMCNTSC Time counter st

Strona 37

MOTOROLA Chapter 3. Memory Map 3-5Part I. OverviewInput/Output Port10D00 PDIRA Port A data direction register 32 bits 35.2.3/35-310D04 PPARA Port A

Strona 38

3-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview10D92 TMR2 Timer 2 mode register 16 bits 17.2.3/17-610D94 TRR1 Timer 1 reference regis

Strona 39

MOTOROLA Chapter 3. Memory Map 3-7Part I. Overview11029 Reserved Ñ 24 bits Ñ1102C IDMR2 IDMA 2 mask register 8 bits 18.8.4/18-221102D Reserved Ñ 24

Strona 40

3-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview11328 FTODR2 FCC2 transmit on-demand register 16 bits 28.5/28-71132A Reserved Ñ 2 byt

Strona 41

MOTOROLA Chapter 3. Memory Map 3-9Part I. OverviewI2C11860 I2MOD I2C mode register 8 bits 34.4.1/34-611862 Reserved Ñ 24 bits Ñ11864 I2ADD I2C addr

Strona 42

MOTOROLA Contents xiii CONTENTS ParagraphNumberTitlePageNumber 10.4.5 Bank Interleaving ...

Strona 43

3-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview11A08 PSMR1 SCC1 protocol-speciÞc mode register 16 bits 19.1.2/19-920.16/20-13 (UART)

Strona 44

MOTOROLA Chapter 3. Memory Map 3-11Part I. OverviewSCC311A40 GSMR_L3 SCC3 general mode register 32 bits 19.1.1/19-311A44 GSMR_H3 SCC3 general mode

Strona 45 - MOTOROLA Tables xlv

3-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview11A77 SCCS4 SCC4 status register 8 bits 20.20/20-21 (UART)21.12/21-14 (HDLC)22.15/22-

Strona 46

MOTOROLA Chapter 3. Memory Map 3-13Part I. Overview11B0E CMXUAR CPM mux UTOPIA address register 16 bits 15.4.1/15-711B10Ð11B1F Reserved Ñ 16 bytes

Strona 47 - MOTOROLA Tables xlvii

3-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewMCC2 Registers11B50 MCCE2 MCC2 event register 16 bits 27.10.1/27-1811B54 MCCM2 MCC2 m

Strona 48

MOTOROLA Part II. Configuration and Reset Part II-iPart IIConÞguration and ResetAudiencePart II is intended for system designers and programmers w

Strona 49 - MOTOROLA Tables xlix

Part II-ii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. Configuration and ResetConventionsThis chapter uses the following notational conventio

Strona 50

MOTOROLA Part II. Configuration and Reset Part II-iiiPart II. Configuration and Resetmsb Most-signiÞcant bitMSR Machine state register PCI Periphe

Strona 51 - MOTOROLA Tables li

Part II-iv MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. Configuration and Reset

Strona 52

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-1Chapter 4 System Interface Unit (SIU)4040The system interface unit (SIU) consists of several fu

Strona 53 - MOTOROLA Tables liii

xiv MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 10.6.4.1.4 Loop Control ...

Strona 54

4-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe system conÞguration and protection functions provide various monito

Strona 55 - About This Book

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-3Part II. ConÞguration and ResetFigure 4-2 is a block diagram of the system conÞguration and prot

Strona 56 - Organization

4-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.1.2 Timers ClockThe two SIU timers (the time counter and the periodi

Strona 57 - MOTOROLA About This Book lvii

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-5Part II. ConÞguration and ResetFigure 4-4. TMCNT Block DiagramSection 4.3.2.15, ÒTime Counter Re

Strona 58

4-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe time-out period is calculated as follows:This gives a range from 12

Strona 59

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-7Part II. ConÞguration and ResetAlthough most software disciplines permit or even encourage the w

Strona 60

4-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset¥ Two priority schemes for the SCCs: grouped, spread¥ Programmable high

Strona 61

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-9Part II. ConÞguration and ResetIf the software watchdog timer is programmed to generate an inter

Strona 62

4-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset5 XSIU4 (GSIU = 0) No (TMCNT,PIT = Yes)6 XCC1 Yes7 XCC2 Yes8 XCC3 Yes9

Strona 63

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-11Part II. ConÞguration and Reset34 SDMA Bus Error Yes35 IDMA1 Yes36 YCC2 (Spread) Yes37 Parallel

Strona 64

MOTOROLA Contents xv CONTENTS ParagraphNumberTitlePageNumber 12.5 MPC8260 Restrictions ...

Strona 65 - MOTOROLA About This Book lxv

4-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetNotice the lack of SDMA interrupt sources, which are reported through

Strona 66

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-13Part II. ConÞguration and Reset4.2.2.3 Highest Priority InterruptIn addition to the FCC/MCC/SC

Strona 67

4-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetFigure 4-9. Interrupt Request Masking4.2.4 Interrupt Vector Generatio

Strona 68

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-15Part II. ConÞguration and Reset6 IDMA1 0b00_01107 IDMA2 0b00_01118 IDMA3 0b00_10009 IDMA4 0b00_

Strona 69

4-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetNote that the interrupt vector table differs from the interrupt priori

Strona 70

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-17Part II. ConÞguration and Reset4.3 Programming ModelThe SIU registers are grouped into the fol

Strona 71 - Chapter 1

4-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe SICR register bits are described in Table 4-4.4.3.1.2 SIU Interru

Strona 72

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-19Part II. ConÞguration and ResetThe SIPRR register bits are described in Table 4-5.4.3.1.3 CPM

Strona 73

4-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-6 describes SCPRR_H Þelds.The CPM low interrupt priority regis

Strona 74

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-21Part II. ConÞguration and Reset4.3.1.4 SIU Interrupt Pending Registers (SIPNR_H and SIPNR_L) E

Strona 75 - 1.2.1 MPC603e Core

xvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumberChapter 14 Serial Interface with Time-Slot Assigner 14.1

Strona 76

4-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetWhen a pending interrupt is handled, the user clears the corresponding

Strona 77 - 1.3.1 Signals

4-23 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetNote the following:¥ SCC/MCC/FCC SIMR bit positions are not affected b

Strona 78

4-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe SIVEC can be read as either a byte, half word, or a word. When rea

Strona 79 - 1.5 Serial Protocol Table

4-25 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset Table 4-8 describes SIEXR Þelds. 4.3.2 System ConÞguration and Pro

Strona 80 - 1.6 MPC8260 ConÞgurations

4-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset Table 4-9 describes BCR Þelds. Bits0 1 2 3 4 5 6 7 8 9 10 11 12 13

Strona 81 - 1.7.1.1 Remote Access Server

4-27 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset 13 LETM Local bus compatibility mode enable. See Section 8.4.3.8, Ò

Strona 82

4-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset 4.3.2.2 60x Bus Arbiter ConÞguration Register (PPC_ACR) The 60x bu

Strona 83

4-29 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset PPC_ALRL, shown in Figure 4-24, deÞnes arbitration priority of 60x

Strona 84

4-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part II. ConÞguration and Reset Table 4-11 describes LCL_ACR register bits. 4.3.2.5 Local Bus Arbi

Strona 85 - 1.7.2.1 Basic System

4-31 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.6 SIU Module ConÞguration Register (SIUMCR)The SIU module conÞ

Strona 86

MOTOROLA Contents xvii CONTENTS ParagraphNumberTitlePageNumberChapter 16 Baud-Rate Generators (BRGs) 16.1 BRG Configuration Registers 1Ð8 (BRGCx)

Strona 87

4-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-12 describes SIUMCR Þelds.Table 4-12. SIUMCR Register Field De

Strona 88

4-33 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset10Ð11 APPC Address parity pins conÞguration. Note that during power on

Strona 89 - PowerPC Processor Core

4-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.7 Internal Memory Map Register (IMMR)The internal memory map re

Strona 90 - Instruction Unit

4-35 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.8 System Protection Control Register (SYPCR)The system protecti

Strona 91

4-36 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.9 Software Service Register (SWSR)The software service register

Strona 92

4-37 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.11 60x Bus Transfer Error Status and Control Register 2 (TESCR2

Strona 93 - 2.2.1 Instruction Unit

4-38 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe TESCR2 register is described in Table 4-16.4.3.2.12 Local Bus Tra

Strona 94 - 2.2.4.1 Integer Unit (IU)

4-39 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetThe L_TESCR1 register bits are described in Table 4-17.4.3.2.13 Local

Strona 95 - 2.2.5 Completion Unit

4-40 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-18 describes L_TESCR2 Þelds.4.3.2.14 Time Counter Status and

Strona 96 - 2.3 Programming Model

4-41 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset4.3.2.15 Time Counter Register (TMCNT)The time counter register (TMCN

Strona 97 - 2.3.1.1 PowerPC Register Set

xviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 18.5.3 Controlling 60x Bus Bandwidth...

Strona 98

4-42 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-20 describes TMCNTAL Þelds.4.3.3 Periodic Interrupt Registers

Strona 99

4-43 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-21 describes PISCR Þelds.4.3.3.2 Periodic Interrupt Timer Cou

Strona 100 - Part I. Overview

4-44 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetTable 4-22 describes PITC Þelds.4.3.3.3 Periodic Interrupt Timer Regi

Strona 101

MOTOROLA Chapter 4. System Interface Unit (SIU) 4-45Part II. ConÞguration and ResetTable 4-24.Table 4-24. SIU Pins Multiplexing Control Pin Name Pi

Strona 102

4-46 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset

Strona 103

MOTOROLA Chapter 5. Reset 5-1Chapter 5 Reset5050The MPC8260 has several inputs to the reset logic:¥ Power-on reset (PORESET)¥ External hard reset

Strona 104

5-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset5.1.1 Reset ActionsThe reset block has a reset control logic that dete

Strona 105

MOTOROLA Chapter 5. Reset 5-3Part II. ConÞguration and ResetFigure 5-3 shows the power-on reset ßow.5.1.3 HRESET FlowThe HRESET ßow may be initia

Strona 106 - 2.4 Cache Implementation

5-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset5.2 Reset Status Register (RSR)The reset status register (RSR), shown

Strona 107 - 2.4.2.1 Data Cache

MOTOROLA Chapter 5. Reset 5-5Part II. ConÞguration and ResetNote that RSR accumulates reset events. For example, because software watchdogexpirati

Strona 108

MOTOROLA Contents xix CONTENTS ParagraphNumberTitlePageNumber 19.3.5.2 Asynchronous Protocols ...

Strona 109 - 2.4.2.3 Cache Locking

5-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset5.4 Reset ConÞgurationVarious features may be conÞgured during hard re

Strona 110 - 2.5 Exception Model

MOTOROLA Chapter 5. Reset 5-7Part II. ConÞguration and ResetTable 5-6 shows addresses that should be used to conÞgure the various MPC8260s. Bytead

Strona 111

5-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and Reset5.4.1 Hard Reset ConÞguration WordThe contents of the hard reset conÞg

Strona 112

MOTOROLA Chapter 5. Reset 5-9Part II. ConÞguration and Reset5.4.2 Hard Reset ConÞguration ExamplesThis section presents some examples of hard res

Strona 113

5-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetFigure 5-4. Single Chip with Default Configuration5.4.2.2 Single MPC8

Strona 114 - 2.6 Memory Management

MOTOROLA Chapter 5. Reset 5-11Part II. ConÞguration and ResetFigure 5-6. Configuring Multiple ChipsPORESETPORESETPORESETPORESETA0A1A6HRESETHRESETH

Strona 115 - 2.6.1 PowerPC MMU Model

5-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart II. ConÞguration and ResetIn this system, the conÞguration master initially reads its own conÞgu

Strona 116

MOTOROLA Part III. The Hardware Interface Part III-iPart IIIThe Hardware InterfaceIntended AudiencePart III is intended for system designers who ne

Strona 117 - 2.7 Instruction Timing

Part III-ii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceSuggested ReadingThis section lists additional reading that pr

Strona 118 - PowerPC 603e Microprocessor

MOTOROLA Part III. The Hardware Interface Part III-iiiPart III. The Hardware Interfacen Indicates an undeÞned numerical value NOT logical operator

Strona 119

PowerQUICC II, Mfax, and DigitalDNA are trademarks of Motorola, Inc. The PowerPC name, the PowerPC logotype, PowerPC 601, PowerPC 603, PowerPC 603e,

Strona 120

xx MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumberChapter 21 SCC HDLC Mode 21.1 SCC HDLC Features ...

Strona 121 - Memory Map

Part III-iv MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceLSB Least-signiÞcant bytelsb Least-signiÞcant bitLSU Load/stor

Strona 122

MOTOROLA Part III. The Hardware Interface Part III-vPart III. The Hardware InterfaceUISA User instruction set architectureUPM User-programmable mac

Strona 123

Part III-vi MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface

Strona 124

MOTOROLA Chapter 6. External Signals 6-1Chapter 6 External Signals6060This chapter describes the MPC8260 external signals. A more detailed descrip

Strona 125

6-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 6-1. MPC8260 External Signals6.2 Signal DescriptionsThe MPC826

Strona 126

MOTOROLA Chapter 6. External Signals 6-3Part III. The Hardware InterfaceTable 6-1. External Signals Signal DescriptionBR60x bus requestÑThis is an

Strona 127

6-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceDBBIRQ360x data bus busyÑ(Input/output)As an output the MPC8260 assert

Strona 128

MOTOROLA Chapter 6. External Signals 6-5Part III. The Hardware InterfaceIRQ5DP[5]TBENEXT_DBG3Interrupt request 5ÑThis input is one of the eight ext

Strona 129

6-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceWTBADDR30IRQ3Write throughÑOutput used for L2 cache control. For each

Strona 130

MOTOROLA Chapter 6. External Signals 6-7Part III. The Hardware InterfaceBADDR[27Ð28] Burst address 27:28ÑThere are Þve burst address output pins. T

Strona 131

MOTOROLA Contents xxi CONTENTS ParagraphNumberTitlePageNumber 22.12 SCC BISYNC Receive BD (RxBD) ...

Strona 132

6-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceLWE[0Ð3]LSDDQM[0Ð3]LBS[0Ð3]Local bus write enableÑThe write enable pin

Strona 133

MOTOROLA Chapter 6. External Signals 6-9Part III. The Hardware InterfaceL_A15SMIPCI_FRAMELocal bus address 15ÑLocal bus address bit 15 output pin.

Strona 134

6-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceL_A24PCI_REQ1Local bus address 24ÑLocal bus address bit 24 output pin

Strona 135 - ConÞguration and Reset

MOTOROLA Chapter 6. External Signals 6-11Part III. The Hardware InterfaceLCL_DP[0Ð3]PCI_C/BE[0Ð3]Local bus data parityÑLocal bus data parity input/

Strona 136 - Acronyms and Abbreviations

6-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNote that CPM port multiplexing is described in the Chapter 35, ÒPara

Strona 137

MOTOROLA Chapter 7. 60x Signals 7-1Chapter 7 60x Signals7070This chapter describes the MPC8260 PowerPC processorÕs external signals. It contains a

Strona 138

7-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface¥ Data transfer signalsÑThese signals, which consist of the data bus,

Strona 139 - System Interface Unit (SIU)

MOTOROLA Chapter 7. 60x Signals 7-3Part III. The Hardware Interface7.2 Signal Descriptions This section describes individual MPC8260 60x signals,

Strona 140

7-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface7.2.1.1.2 Address Bus Request (BR)ÑInputFollowing are the state meani

Strona 141 - 4.1.1 Bus Monitor

MOTOROLA Chapter 7. 60x Signals 7-5Part III. The Hardware InterfaceNegationÑMay occur whenever the MPC8260 must be prevented from using the address

Strona 142 - 4.1.3 Time Counter (TMCNT)

xxii MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 24.6 The Content-Addressable Memory (CAM) Interface ...

Strona 143 - Figure 4-5. PIT Block Diagram

7-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface7.2.1.3.2 Address Bus Busy (ABB)ÑInputFollowing are the state meaning

Strona 144

MOTOROLA Chapter 7. 60x Signals 7-7Part III. The Hardware InterfaceTiming Comments Assertion/NegationÑMust be asserted for one cycle only and then

Strona 145 - 4.2 Interrupt Controller

7-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface7.2.4.1 Transfer Type (TT[0Ð4])The transfer type signals (TT[0Ð4]) c

Strona 146 - 4.2.1 Interrupt ConÞguration

MOTOROLA Chapter 7. 60x Signals 7-9Part III. The Hardware InterfaceHigh ImpedanceÑSame as A[0Ð31].7.2.4.4 Global (GBL)The global (GBL) signal is a

Strona 147 - 2 XSIU1 No (TMCNT,PIT = Yes)

7-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNegatedÑIndicates that the transaction should not operate in write-th

Strona 148

MOTOROLA Chapter 7. 60x Signals 7-11Part III. The Hardware Interface7.2.5.2 Address Retry (ARTRY)The address retry (ARTRY) signal is both an input

Strona 149

7-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface7.2.6 Data Bus Arbitration SignalsThe data bus arbitration signals h

Strona 150

MOTOROLA Chapter 7. 60x Signals 7-13Part III. The Hardware Interface7.2.6.2 Data Bus Busy (DBB)The data bus busy (DBB) signal is both an input and

Strona 151

7-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface7.2.7.1.1 Data Bus (D[0Ð63])ÑOutputFollowing are the state meaning a

Strona 152

MOTOROLA Chapter 7. 60x Signals 7-15Part III. The Hardware InterfaceTiming Comments Assertion/NegationÑThe same as the data bus. High ImpedanceÑThe

Strona 153

MOTOROLA Contents xxiii CONTENTS ParagraphNumberTitlePageNumber26.2.4.4 SMC Receiver Shortcut Sequence ...

Strona 154

7-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNegatedÑ(During assertion of DBB) indicates that, until TA is asserte

Strona 155 - 4.3 Programming Model

MOTOROLA Chapter 7. 60x Signals 7-17Part III. The Hardware InterfaceNegatedÑIndicates that no bus error was detected. Timing Comments AssertionÑMay

Strona 156

7-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfacesystem can assert PSDVAL for one bus clock cycle and then negate it t

Strona 157

MOTOROLA Chapter 8. The 60x Bus 8-1Chapter 8 The 60x Bus8080The 60x bus, which is used by PowerPC processors, provides ßexible support for the on-

Strona 158

8-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface8.2 Bus ConÞgurationThe 60x bus supports separate bus conÞgurations f

Strona 159 - Figure 4-15. SIPNR_L Fields

MOTOROLA Chapter 8. The 60x Bus 8-3Part III. The Hardware InterfaceFigure 8-1. Single MPC8260 Bus Mode Note that in single MPC8260 bus mode, the MP

Strona 160 - Figure 4-16. SIMR_H Register

8-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 8-2. 60x-Compatible Bus Mode8.3 60x Bus Protocol OverviewTypic

Strona 161 - Figure 4-17. SIMR_L Register

MOTOROLA Chapter 8. The 60x Bus 8-5Part III. The Hardware InterfacedeÞned by the 60x bus speciÞcation. For more information, see Section 8.5.5, ÒPo

Strona 162

8-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfaceexternal central bus arbiter or by the internal on-chip arbiter. In th

Strona 163

MOTOROLA Chapter 8. The 60x Bus 8-7Part III. The Hardware Interface8.3.2 Address Pipelining and Split-Bus TransactionsThe 60x bus protocol provide

Strona 164

xxiv MPC8260 PowerQUICC II UserÕs Manual MOTOROLACONTENTSParagraphNumberTitlePageNumberChapter 27 Multi-Channel Controllers (MCCs)27.1 Features...

Strona 165

8-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfacetransaction, it skips the bus request delay and assumes address bus ma

Strona 166 - Figure 4-22. PPC_ACR

MOTOROLA Chapter 8. The 60x Bus 8-9Part III. The Hardware InterfaceFigure 8-4. Address Bus Arbitration with External Bus Master8.4.2 Address Pipel

Strona 167

8-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 8-5. Address Pipelining 8.4.3 Address Transfer Attribute Sign

Strona 168 - LCL_ACRL)

MOTOROLA Chapter 8. The 60x Bus 8-11Part III. The Hardware Interface01000 sync Address onlyAddress only (if enabled)sync (if enabled) Not applicabl

Strona 169 - Figure 4-27. LCL_ALRL

8-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNote the following regarding Table 8-2:¥ For reads, the processor cle

Strona 170

MOTOROLA Chapter 8. The 60x Bus 8-13Part III. The Hardware Interface8.4.3.2 Transfer Code Signals TC[0Ð2] The transfer code signals, TC[0Ð2], prov

Strona 171

8-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNote that the basic coherency size of the bus is 32 bytes for the pro

Strona 172

MOTOROLA Chapter 8. The 60x Bus 8-15Part III. The Hardware Interface The MPC8260 supports misaligned memory operations, although they may degradepe

Strona 173

8-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfacesoftware attempt to align code and data where possible. 8.4.3.6 Effe

Strona 174 - (TESCR1)

MOTOROLA Chapter 8. The 60x Bus 8-17Part III. The Hardware InterfaceFigure 8-6. Interface to Different Port Size Devices031 63OP0 OP1 OP2 OP3 OP4 O

Strona 175 - (TESCR2)

MOTOROLA Contents xxvCONTENTSParagraphNumberTitlePageNumber28.8.3 FCC Status Registers (FCCSx) ...

Strona 176 - (L_TESCR1)

8-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceTable 8-9 lists data transfer patterns for write cycles for accesses

Strona 177 - (L_TESCR2)

MOTOROLA Chapter 8. The 60x Bus 8-19Part III. The Hardware Interface8.4.3.7 60x-Compatible Bus ModeÑSize CalculationTo comply with the requirement

Strona 178

8-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface8.4.3.8 Extended Transfer ModeThe MPC8260 supports an extended trans

Strona 179

MOTOROLA Chapter 8. The 60x Bus 8-21Part III. The Hardware Interfacebus, but some slaves or masters do not support these features. Clear BCR[ETM] t

Strona 180

8-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceExtended transfer mode is enabled by setting the BCR[ETM].Table 8-13.

Strona 181

MOTOROLA Chapter 8. The 60x Bus 8-23Part III. The Hardware Interface8.4.4 Address Transfer TerminationAddress transfer termination occurs with the

Strona 182 - 4.4 SIU Pin Multiplexing

8-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 8-7. Retry CycleAs a bus master, the MPC8260 recognizes either

Strona 183 - Table 4-24

MOTOROLA Chapter 8. The 60x Bus 8-25Part III. The Hardware Interfacealso detect this event and abort any transfer in progress. If this TA/ARTRY rel

Strona 184

8-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface8.4.5 Pipeline ControlThe MPC8260 supports the two following modes:¥

Strona 185 - Chapter 5

MOTOROLA Chapter 8. The 60x Bus 8-27Part III. The Hardware Interfacefollowing cycle. In case the external arbiter asserts DBG on the cycle in which

Strona 186 - 5.1.2 Power-On Reset Flow

xxvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLACONTENTSParagraphNumberTitlePageNumber29.3.6 Determining the Priority of an ATM Channel ...

Strona 187 - 5.1.4 SRESET Flow

8-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface¥ Asserting ARTRY causes the data tenure to be terminated immediately

Strona 188 - MPC8260Õs SIU register map

MOTOROLA Chapter 8. The 60x Bus 8-29Part III. The Hardware InterfaceFigure 8-9 shows an extended transaction of 4 words to a port size of 32 bits.

Strona 189

8-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 8-10. Burst Transfer to 32-Bit Port Size8.5.6 Data Bus Termin

Strona 190 - 5.4 Reset ConÞguration

MOTOROLA Chapter 8. The 60x Bus 8-31Part III. The Hardware InterfaceFigure 8-11. Data Tenure Terminated by Assertion of TEAMPC8260 interprets the f

Strona 191

8-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceWhen the MPC8260 processor is not the address bus master, GBL is an i

Strona 192

MOTOROLA Chapter 8. The 60x Bus 8-33Part III. The Hardware Interface8.7.1 Support for the lwarx/stwcx. Instruction PairThe load word and reserve i

Strona 193

8-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface

Strona 194

MOTOROLA Chapter 9. Clocks and Power Control 9-1Chapter 9 Clocks and Power Control9090The MPC8260Õs clocking architecture includes two PLLsÑthe ma

Strona 195

9-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface9.2 Clock ConÞgurationTo conÞgure the main PLL multiplication factor

Strona 196

MOTOROLA Chapter 9. Clocks and Power Control 9-3Part III. The Hardware Interface0010_011 33 MHz 4 133 MHz 5 166 MHz0010_100 33 MHz 4 133 MHz 6 200

Strona 197 - The Hardware Interface

MOTOROLA Contents xxviiCONTENTSParagraphNumberTitlePageNumber29.10.1.3 Global Mode Entry (GMODE) ...

Strona 198 - Suggested Reading

9-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceBecause of speed dependencies, not all conÞgurations in Table 9-2 may

Strona 199

MOTOROLA Chapter 9. Clocks and Power Control 9-5Part III. The Hardware Interface9.3 External Clock InputsThe input clock source to the PLL is an e

Strona 200

9-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceThe direction selected depends on whether the feedback signal phase la

Strona 201 - Term Meaning

MOTOROLA Chapter 9. Clocks and Power Control 9-7Part III. The Hardware Interface9.6.1 General System ClocksThe general system clocks (CPM_CLK, CPM

Strona 202

9-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 9-2. PLL Filtering Circuit9.8 System Clock Control Register (S

Strona 203 - External Signals

MOTOROLA Chapter 9. Clocks and Power Control 9-9Part III. The Hardware Interface9.9 System Clock Mode Register (SCMR)The system clock mode registe

Strona 204

9-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceThe relationships among these parameters are described in the formula

Strona 205 - Table 6-1. External Signals

MOTOROLA Chapter 10. Memory Controller 10-1Chapter 10 Memory Controller100100The memory controller is responsible for controlling a maximum of twe

Strona 206

10-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceThe MPC8260 supports the following new features as compared to the MP

Strona 207

MOTOROLA Chapter 10. Memory Controller 10-3Part III. The Hardware InterfaceFigure 10-1. Dual-Bus Architecture10.1 FeaturesThe memory controllerÕs

Strona 208

xxviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLACONTENTSParagraphNumberTitlePageNumber29.12.1 UTOPIA Interface Master Mode...

Strona 209

10-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceÑ Write-protection capabilityÑ Control signal generation machine sele

Strona 210

MOTOROLA Chapter 10. Memory Controller 10-5Part III. The Hardware InterfaceÑ Each UPM can be deÞned to support DRAM devices with depths of 64, 128,

Strona 211

10-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-2. Memory Controller Machine SelectionSome features are com

Strona 212

MOTOROLA Chapter 10. Memory Controller 10-7Part III. The Hardware InterfaceFigure 10-3. Simple System ConfigurationImplementation differences betwe

Strona 213

10-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfaceselected according to the type of external access transacted. At ever

Strona 214

MOTOROLA Chapter 10. Memory Controller 10-9Part III. The Hardware Interface10.2.2 Page Hit CheckingThe SDRAM machine supports page-mode operation.

Strona 215 - 60x Signals

10-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.2.7 Data Buffer Controls (BCTLx)The memory controller provides t

Strona 216 - 7.1 Signal ConÞguration

MOTOROLA Chapter 10. Memory Controller 10-11Part III. The Hardware InterfaceNote that this feature cannot be used with L2 cacheable banks and that

Strona 217 - 7.2 Signal Descriptions

10-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.2.13 Partial Data Valid Indication (PSDVAL)The 60x and local bus

Strona 218 - 7.2.1.2 Bus Grant (BG)

MOTOROLA Chapter 10. Memory Controller 10-13Part III. The Hardware InterfaceFigure 10-5. Partial Data Valid for 32-Bit Port Size Memory, Double-Wor

Strona 219

MOTOROLA Contents xxixCONTENTSParagraphNumberTitlePageNumber30.19 Ethernet RxBDs...

Strona 220 - 7.2.2.1 Transfer Start (TS)

10-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.1 Base Registers (BRx)The base registers (BR0ÐBR11) contain th

Strona 221

MOTOROLA Chapter 10. Memory Controller 10-15Part III. The Hardware Interface23 WP Write protect. Can restrict write accesses within the address ran

Strona 222

10-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.2 Option Registers (ORx)The ORx registers deÞne the sizes of m

Strona 223 - 7.2.4.4 Global (GBL)

MOTOROLA Chapter 10. Memory Controller 10-17Part III. The Hardware Interface5Ð11 SDAM SDRAM address mask. Provides masking for corresponding bits i

Strona 224

10-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-8 shows ORx as it is formatted for GPCM mode. Table 10-5 d

Strona 225

10-19 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface21Ð22 ACS Address to chip select setup. Can be used when the externa

Strona 226 - 7.2.6.1 Data Bus Grant (DBG)

10-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-9 shows ORx as it is formatted for UPM mode.Table 10-6 des

Strona 227 - 7.2.7.1 Data Bus (D[0Ð63])

MOTOROLA Chapter 10. Memory Controller 10-21Part III. The Hardware Interface10.3.3 60x SDRAM Mode Register (PSDMR)The 60x SDRAM mode register (PSD

Strona 228

10-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface8Ð10 BSMA Bank select multiplexed address line. Selects the address

Strona 229

MOTOROLA Chapter 10. Memory Controller 10-23Part III. The Hardware Interface23 BL Burst length0 SDRAM burst length is 4. Use this value if the devi

Strona 230

OverviewPowerPC Processor CoreMemory MapSystem Interface Unit (SIU)ResetExternal Signals60x SignalsThe 60x BusClocks and Power ControlMemory Controll

Strona 231

xxx MPC8260 PowerQUICC II UserÕs Manual MOTOROLACONTENTSParagraphNumberTitlePageNumber33.4.3 SPI Command Register (SPCOM) ...

Strona 232

10-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.4 Local Bus SDRAM Mode Register (LSDMR)The LSDMR, shown in Fig

Strona 233 - The 60x Bus

MOTOROLA Chapter 10. Memory Controller 10-25Part III. The Hardware InterfaceSDRAM DeviceÐSpeciÞc Parameters:14Ð16 RFRC Refresh recovery. DeÞnes the

Strona 234 - 8.2 Bus ConÞguration

10-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.5 Machine A/B/C Mode Registers (MxMR)The machine x mode regist

Strona 235

MOTOROLA Chapter 10. Memory Controller 10-27Part III. The Hardware InterfaceTable 10-9 describes MxMR bits.Table 10-9. Machine x Mode Registers (Mx

Strona 236

10-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.6 Memory Data Register (MDR)The memory data register (MDR), sh

Strona 237 - 8.3.1 Arbitration Phase

MOTOROLA Chapter 10. Memory Controller 10-29Part III. The Hardware InterfaceTable 10-10 describes MDR Þelds. 10.3.7 Memory Address Register (MAR)T

Strona 238

10-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceTable 10-11 describes MAR Þelds.10.3.8 60x Bus-Assigned UPM Refresh

Strona 239 - 8.4.1 Address Arbitration

MOTOROLA Chapter 10. Memory Controller 10-31Part III. The Hardware InterfaceTable 10-13 describes LURT Þelds. 10.3.10 60x Bus-Assigned SDRAM Refre

Strona 240

10-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.3.11 Local Bus-Assigned SDRAM Refresh Timer (LSRT)The local bus-

Strona 241 - 8.4.2 Address Pipelining

MOTOROLA Chapter 10. Memory Controller 10-33Part III. The Hardware Interface10.3.13 60x Bus Error Status and Control Registers (TESCRx)These regis

Strona 242

MOTOROLA Contents xxxiCONTENTSParagraphNumberTitlePageNumber35.2.3 Port Data Direction Registers (PDIRAÐPDIRD)...

Strona 243

10-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceyFigure 10-19. 128-Mbyte SDRAM (Eight-Bank Configuration, Banks 1 an

Strona 244

MOTOROLA Chapter 10. Memory Controller 10-35Part III. The Hardware Interface10.4.1 Supported SDRAM ConÞgurationsThe MPC8260 memory controller supp

Strona 245

10-36 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.4.4 Page-Mode Support and Pipeline AccessesThe SDRAM interface s

Strona 246 - Table 8-5. Burst Ordering

MOTOROLA Chapter 10. Memory Controller 10-37Part III. The Hardware InterfaceThe following two methods are used for internal bank interleaving:¥ Pa

Strona 247

10-38 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceTable 10-20 shows SDRAM address multiplexing for A16ÐA31.10.4.6 SDR

Strona 248

MOTOROLA Chapter 10. Memory Controller 10-39Part III. The Hardware InterfaceFigure 10-20. PRETOACT = 2 (2 Clock Cycles)10.4.6.2 Activate to Read/W

Strona 249

10-40 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.4.6.3 Column Address to First Data OutÑCAS Latency This paramete

Strona 250

MOTOROLA Chapter 10. Memory Controller 10-41Part III. The Hardware Interface10.4.6.5 Last Data In to PrechargeÑWrite Recovery This parameter, cont

Strona 251

10-42 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfaceshould be set. Setting this bit causes the memory controller to add

Strona 252

MOTOROLA Chapter 10. Memory Controller 10-43Part III. The Hardware InterfaceFigure 10-28. SDRAM Single-Beat Read, Page Closed, CL = 3Figure 10-29.

Strona 253

xxxii MPC8260 PowerQUICC II UserÕs Manual MOTOROLACONTENTSParagraphNumberTitlePageNumber

Strona 254

10-44 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-31. SDRAM Four-Beat Burst Read, Page Miss, CL = 3Figure 10

Strona 255

MOTOROLA Chapter 10. Memory Controller 10-45Part III. The Hardware InterfaceFigure 10-34. SDRAM Read-after-Read Pipeline, Page Hit, CL = 3Figure 10

Strona 256 - Figure 8-7. Retry Cycle

10-46 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.4.8 SDRAM Read/Write TransactionsThe SDRAM interface supports th

Strona 257

MOTOROLA Chapter 10. Memory Controller 10-47Part III. The Hardware InterfaceFigure 10-38. Mode Data Bit Settings10.4.10 SDRAM RefreshThe memory co

Strona 258 - 8.5 Data Tenure Operations

10-48 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-39. SDRAM Bank-Staggered CBR Refresh Timing10.4.12 SDRAM

Strona 259 - 8.5.2 Data Streaming Mode

MOTOROLA Chapter 10. Memory Controller 10-49Part III. The Hardware InterfaceNow, from the SDRAM device point of view, during an ACTIVATE command, i

Strona 260

10-50 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.4.13 SDRAM ConÞguration Example (Bank-Based Interleaving)Conside

Strona 261

MOTOROLA Chapter 10. Memory Controller 10-51Part III. The Hardware Interface10.5 General-Purpose Chip-Select Machine (GPCM)Users familiar with the

Strona 262

10-52 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-40. GPCM-to-SRAM ConÞguration10.5.1 Timing ConÞgurationIf

Strona 263

MOTOROLA Chapter 10. Memory Controller 10-53Part III. The Hardware Interface10.5.1.1 Chip-Select Assertion Timing From 0 to 30 wait states can be

Strona 264 - 8.7 Processor State Signals

MOTOROLA Illustrations xxxiiiILLUSTRATIONSFigureNumberTitle Page Number1-1 MPC8260 Block Diagram ...

Strona 265 - 8.8 Little-Endian Mode

10-54 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.5.1.2 Chip-Select and Write Enable Deassertion Timing Figure 10-

Strona 266

MOTOROLA Chapter 10. Memory Controller 10-55Part III. The Hardware InterfaceFigure 10-45. GPCM Memory Device Basic Timing (ACS ¹ 00, CSNT = 1, TRLX

Strona 267 - Clocks and Power Control

10-56 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface Figure 10-47. GPCM Relaxed-Timing Write (ACS = 1x, SCY = 0, CSNT =

Strona 268 - 9.2 Clock ConÞguration

MOTOROLA Chapter 10. Memory Controller 10-57Part III. The Hardware Interface Figure 10-49. GPCM Relaxed-Timing Write (ACS = 00, SCY = 0, CSNT = 1,

Strona 269

10-58 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-50 through Figure 10-53 show timing examples. Figure 10-50

Strona 270

MOTOROLA Chapter 10. Memory Controller 10-59Part III. The Hardware Interface Figure 10-51. GPCM Read Followed by Read (ORx[29Ð30] = 01) Figure 10-5

Strona 271 - 9.4 Main PLL

10-60 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface Figure 10-53. GPCM Read Followed by Read (ORx[29Ð30] = 10)10.5.2 E

Strona 272 - 9.5 Clock Dividers

MOTOROLA Chapter 10. Memory Controller 10-61Part III. The Hardware InterfaceFigure 10-54. External Termination of GPCM Access10.5.3 Boot Chip-Sele

Strona 273 - 9.7 PLL Pins

10-62 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.5.4 Differences between MPC8xxÕs GPCM and MPC8260Õs GPCMUsers fa

Strona 274

MOTOROLA Chapter 10. Memory Controller 10-63Part III. The Hardware InterfaceAdditional control is available in 60x-compatible mode (60x bus only)ÑA

Strona 275 - Section 9.4, ÒMain PLL.Ó

xxxiv MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber4-20 SIU External Interrupt Control Register (SIEXR)...

Strona 276 - 9.10 Basic Power Structure

10-64 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceNote that 60x bus accesses that hit a bank allocated to the local bu

Strona 277 - Memory Controller

MOTOROLA Chapter 10. Memory Controller 10-65Part III. The Hardware InterfaceTable 10-34 show the start address of each pattern.10.6.1.1 Memory Acc

Strona 278

10-66 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceAll local bus refreshes are done using the refresh pattern of UPMB.

Strona 279 - 10.1 Features

MOTOROLA Chapter 10. Memory Controller 10-67Part III. The Hardware Interface3. Program MPTPR and L/PSRT if refresh is required.4. Program the machi

Strona 280

10-68 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-59. Memory Controller UPM Clock Scheme for Non-Integer (2.

Strona 281 - 10.2 Basic Architecture

MOTOROLA Chapter 10. Memory Controller 10-69Part III. The Hardware InterfaceFigure 10-60. UPM Signals Timing Example 10.6.4 The RAM ArrayThe RAM a

Strona 282 - MxMR[BS]

10-70 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-61. RAM Array and Signal Generation10.6.4.1 RAM WordsThe

Strona 283

MOTOROLA Chapter 10. Memory Controller 10-71Part III. The Hardware InterfaceTable 10-35 describes RAM word Þelds. Table 10-35. RAM Word Bit Setting

Strona 284

10-72 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface12 G1T1 General-purpose line 1 timing 1. DeÞnes the state of GPL1 du

Strona 285 - 10.2.2 Page Hit Checking

MOTOROLA Chapter 10. Memory Controller 10-73Part III. The Hardware Interface20 G5T1 General-purpose line 5 timing 1. DeÞnes the state of GPL5 durin

Strona 286 - 10.2.9 Data Pipelining

MOTOROLA Illustrations xxxvILLUSTRATIONSFigureNumberTitlePageNumber9-3 System Clock Control Register (SCCR)...

Strona 287

10-74 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceAdditional information about some of the RAM word Þelds is provided

Strona 288

MOTOROLA Chapter 10. Memory Controller 10-75Part III. The Hardware InterfaceFigure 10-63. CS Signal Selection10.6.4.1.2 Byte-Select Signals (BxTx)

Strona 289 - Internal

10-76 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.6.4.1.3 General-Purpose Signals (GxTx, GOx)The general-purpose s

Strona 290 - 10.3.1 Base Registers (BRx)

MOTOROLA Chapter 10. Memory Controller 10-77Part III. The Hardware InterfaceFigure 10-79 shows an example of REDO use.10.6.4.2 Address Multiplexin

Strona 291

10-78 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-65. UPM Read Access Data Sampling10.6.4.4 Signals Negatio

Strona 292

MOTOROLA Chapter 10. Memory Controller 10-79Part III. The Hardware InterfaceFigure 10-66. Wait Mechanism Timing for Internal and External Synchrono

Strona 293

10-80 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceThis means that the address bus should be partitioned as shown in Ta

Strona 294 - Figure 10-8. ORx ÑGPCM Mode

MOTOROLA Chapter 10. Memory Controller 10-81Part III. The Hardware Interface¥ Timing of GPL[0Ð5]ÑIn the MPC8xxÕs UPM, the GPL lines could change on

Strona 295

10-82 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-67. DRAM Interface Connection to the 60x Bus (64-Bit Port

Strona 296 - Figure 10-9. ORxÑUPM Mode

MOTOROLA Chapter 10. Memory Controller 10-83Part III. The Hardware InterfaceMxMR[OP] = 11. Figure 10-56 shows the Þrst locations addressed by the U

Strona 297

xxxvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber10-41 GPCM Peripheral Device Interface ...

Strona 298 - READ/WRITE command after an

10-84 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-69. Single-Beat Write Access to FPM DRAMcst1 0 0 0 Bit 0cs

Strona 299 - ACTIVATE

MOTOROLA Chapter 10. Memory Controller 10-85Part III. The Hardware InterfaceFigure 10-70. Burst Read Access to FPM DRAM (No LOOP)cst1 000000000 Bit

Strona 300

10-86 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-71. Burst Read Access to FPM DRAM (LOOP)cst1 0 0 0 Bit 0cs

Strona 301

MOTOROLA Chapter 10. Memory Controller 10-87Part III. The Hardware InterfaceFigure 10-72. Burst Write Access to FPM DRAM (No LOOP)cst1 000000000 Bi

Strona 302

10-88 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-73. Refresh Cycle (CBR) to FPM DRAMcst1 1 0 0 Bit 0cst2 1

Strona 303

MOTOROLA Chapter 10. Memory Controller 10-89Part III. The Hardware InterfaceFigure 10-74. Exception Cyclecst1 1 Bit 0cst2 1 Bit 1cst3 1 Bit 2cst4 1

Strona 304 - RUN command)

10-90 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface¥ If GPL_4 is not used as an output, the performance for a page read

Strona 305 - WRITE or READ command is

MOTOROLA Chapter 10. Memory Controller 10-91Part III. The Hardware InterfaceFigure 10-75. FPM DRAM Burst Read Access (Data Sampling on Falling Edge

Strona 306

10-92 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.7.0.1 EDO Interface ExampleFigure 10-76 shows a memory connectio

Strona 307

MOTOROLA Chapter 10. Memory Controller 10-93Part III. The Hardware InterfaceFigure 10-77. Single-Beat Read Access to EDO DRAMcst1 00000 Bit 0cst2 0

Strona 308

MOTOROLA Illustrations xxxviiILLUSTRATIONSFigureNumberTitlePageNumber10-82 Refresh Cycle (CBR) to EDO DRAM...

Strona 309 - 10.4 SDRAM Machine

10-94 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-78. Single-Beat Write Access to EDO DRAMcst1 0001 Bit 0cst

Strona 310

MOTOROLA Chapter 10. Memory Controller 10-95Part III. The Hardware Interface Figure 10-79. Single-Beat Write Access to EDO DRAM Using REDO to Inse

Strona 311 - CBR REFRESH commands

10-96 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-80. Burst Read Access to EDO DRAMcst1 00000000000Bit 0cst2

Strona 312 - 10.4.5 Bank Interleaving

MOTOROLA Chapter 10. Memory Controller 10-97Part III. The Hardware InterfaceFigure 10-81. Burst Write Access to EDO DRAMcst1 0000000000 Bit 0cst2 0

Strona 313

10-98 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-82. Refresh Cycle (CBR) to EDO DRAMcst1 10001 Bit 0cst2 10

Strona 314

MOTOROLA Chapter 10. Memory Controller 10-99Part III. The Hardware InterfaceFigure 10-83. Exception Cycle For EDO DRAMcst1 1 Bit 0cst2 1 Bit 1cst3

Strona 315 - PRECHARGE

10-100 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface10.8 Handling Devices with Slow or Variable Access Times The memor

Strona 316

MOTOROLA Chapter 10. Memory Controller 10-101Part III. The Hardware Interface10.9 External Master Support (60x-Compatible Mode)The memory controll

Strona 317

10-102 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface¥ PSDVAL as a termination to a partial transaction (such as port-si

Strona 318 - Figure 10-27. BUFCMD = 1

MOTOROLA Chapter 10. Memory Controller 10-103Part III. The Hardware InterfaceThe 60x bus is pipelined. The ALE pins control the external latch that

Strona 319

xxxviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber14-17 Falling Edge (FE) Effect When CE = 0 and xFSD = 00 .

Strona 320

10-104 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 10-85 shows the 1-cycle delay for external master access. Fo

Strona 321

MOTOROLA Chapter 10. Memory Controller 10-105Part III. The Hardware InterfaceFigure 10-86. External Master Configuration with SDRAM DeviceSDAMUXTT[

Strona 322 - ODE-SET Command Timing

10-106 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface

Strona 323 - 10.4.11 SDRAM Refresh Timing

MOTOROLA Chapter 11. Secondary (L2) Cache Support 11-1Chapter 11 Secondary (L2) Cache Support110110The MPC8260 has features to support an external

Strona 324

11-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 11-1. L2 Cache in Copy-Back Mode11.1.2 Write-Through ModeIn w

Strona 325 - ACTIVATE command, its address

MOTOROLA Chapter 11. Secondary (L2) Cache Support 11-3Part III. The Hardware Interfaceare serviced just as they are for copy-back mode. Write-throu

Strona 326

11-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 11-2. External L2 Cache in Write-Through Mode11.1.3 ECC/Parit

Strona 327

MOTOROLA Chapter 11. Secondary (L2) Cache Support 11-5Part III. The Hardware InterfaceIn ECC/parity mode the L2 cache can support memory regions wi

Strona 328 - 10.5.1 Timing ConÞguration

11-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 11-3. External L2 Cache in ECC/Parity ModeTS, TT[0Ð4], TBSTA[0

Strona 329 - ACS = 10

MOTOROLA Chapter 11. Secondary (L2) Cache Support 11-7Part III. The Hardware Interface11.2 L2 Cache Interface ParametersThe L2 cache interface par

Strona 330 - CSNT = 1

MOTOROLA Illustrations xxxixILLUSTRATIONSFigureNumberTitlePageNumber19-2 GSMR_HÑGeneral SCC Mode Register (High Order) ...

Strona 331 - 10.5.1.3 Relaxed Timing

11-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interfacebus to the external L2 cache by asserting BG and DBG, respectively. I

Strona 332

MOTOROLA Chapter 11. Secondary (L2) Cache Support 11-9Part III. The Hardware InterfaceFigure 11-4. Read Access with L2 CacheCLKBRBGAddrTSABBA0 &

Strona 333

11-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware Interface

Strona 334

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-1Chapter 12 IEEE 1149.1 Test Access Port120120The MPC8260 provides a dedicated user-accessibl

Strona 335

12-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 12-1. Test Logic Block DiagramThe TAP consists of the signals

Strona 336

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-3Part III. The Hardware InterfaceFigure 12-2. TAP Controller State Machine12.3 Boundary Scan

Strona 337

12-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart III. The Hardware InterfaceFigure 12-3. Output Pin Cell (O.Pin)Figure 12-4. Observe-Only Input P

Strona 338

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-5 Part III. The Hardware Interface Figure 12-5. Output Control Cell (IO.CTL)Figure 12-6. Gen

Strona 339 - RUN command

12-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface type. The third column lists the pin name for all pin-related cell

Strona 340 - 10.6.1 Requests

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-7 Part III. The Hardware Interface 35 IO.ctl g277.ctl Ñ Ñ36 i.obs pb[10] io Ñ37 o.pin pb[10]

Strona 341

OverviewPowerPC Processor CoreMemory MapSystem Interface Unit (SIU)ResetExternal Signals60x SignalsThe 60x BusClocks and Power ControlMemory Controll

Strona 342 - RUN Command

xl MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber22-2 Control Character Table and RCCM ...

Strona 343 - 10.6.3 Clock Timing

12-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 74 IO.ctl g263.ctl Ñ Ñ75 i.obs pb[13] io Ñ76 o.pin pb[13] io g262.

Strona 344 - Clock Ratios

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-9 Part III. The Hardware Interface 113 IO.ctl g250.ctl Ñ Ñ114 i.obs pc[13] io Ñ115 o.pin pc[

Strona 345 - 10.6.4 The RAM Array

12-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 152 IO.ctl g237.ctl Ñ Ñ153 i.obs pb[23] io Ñ154 o.pin pb[23] io g

Strona 346 - 10.6.4.1 RAM Words

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-11 Part III. The Hardware Interface 191 IO.ctl g224.ctl Ñ Ñ192 i.obs pb[20] io Ñ193 o.pin pb

Strona 347

12-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 230 IO.ctl g212.ctl Ñ Ñ231 i.obs pc[24] io Ñ232 o.pin pc[24] io g

Strona 348

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-13 Part III. The Hardware Interface 269 o.pin sreset_b io g170.ctl270 IO.ctl g170.ctl Ñ Ñ271

Strona 349

12-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 308 i.obs pa[30] io Ñ309 o.pin pa[30] io g154.ctl310 IO.ctl g154.

Strona 350

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-15 Part III. The Hardware Interface 347 IO.ctl g89.ctl Ñ Ñ348 i.obs psdval_b io Ñ349 o.pin p

Strona 351

12-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 386 IO.ctl g111.ctl Ñ Ñ387 i.obs a[26] io Ñ388 o.pin a[26] io g11

Strona 352 - RUN command RLFx

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-17 Part III. The Hardware Interface 425 i.obs a[8] io Ñ426 o.pin a[8] io g109.ctl427 i.obs a

Strona 353

MOTOROLA Illustrations xliILLUSTRATIONSFigureNumberTitlePageNumber26-19 SMC GCI Event Register (SMCE)/Mask Register (SMCM)...

Strona 354 - 10.6.4.5 The Wait Mechanism

12-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 464 i.obs bg_b io Ñ465 o.pin bg_b io g115.ctl466 IO.ctl g115.ctl

Strona 355

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-19 Part III. The Hardware Interface 503 i.obs d[54] io Ñ504 o.pin d[54] io g106.ctl505 i.obs

Strona 356 - ACTIVATE command

12-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 542 o.pin d[36] io g104.ctl543 i.obs d[28] io Ñ544 o.pin d[28] io

Strona 357

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-21 Part III. The Hardware Interface 581 o.pin d[18] io g102.ctl582 i.obs d[10] io Ñ583 o.pin

Strona 358

12-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 620 i.obs dp7_cse1_irq7_b io Ñ621 o.pin dp7_cse1_irq7_b io g99.ct

Strona 359

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-23 Part III. The Hardware Interface 659 o.pin we_dqm_bs_b[3] o Ñ660 o.pin we_dqm_bs_b[2] o Ñ

Strona 360

12-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 698 i.obs lcl_d_ad[4] io Ñ699 o.pin lcl_d_ad[4] io g40.ctl700 i.o

Strona 361

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-25 Part III. The Hardware Interface 737 IO.ctl g20.ctl Ñ Ñ738 i.obs lcl_dp_c_be[1] io Ñ739 o

Strona 362

12-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 776 o.pin lcl_d_ad[18] io g42.ctl777 i.obs lcl_d_ad[19] io Ñ778 o

Strona 363

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-27 Part III. The Hardware Interface 815 o.pin l_a25_gnt0_b io g31.ctl816 IO.ctl g31.ctl Ñ Ñ8

Strona 364

xlii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber29-18 FMC, BRC Insertion...

Strona 365 - Figure 10-74. Exception Cycle

12-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface 12.4 Instruction Register The MPC8260Õs JTAG implementation incl

Strona 366

MOTOROLA Chapter 12. IEEE 1149.1 Test Access Port 12-29 Part III. The Hardware Interface Table 12-3. Instruction Decoding CodeInstruction Descri

Strona 367

12-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part III. The Hardware Interface The parallel output of the instruction register is set to all one

Strona 368

MOTOROLA Part IV. Communications Processor Module Part IV-i Part IV Communications Processor ModuleIntended AudiencePart IV is intended for syste

Strona 369

Part IV-ii MOTOROLAPart IV. Communications Processor Module¥ Chapter 19, ÒSerial Communications Controllers (SCCs),Ó describes the four serial communi

Strona 370

MOTOROLA Part IV. Communications Processor Module Part IV-iiiPart IV. Communications Processor Module¥ Chapter 33, ÒSerial Peripheral Interface (SP

Strona 371 - Wait States

Part IV-iv MOTOROLAPart IV. Communications Processor Module¥ PowerPC Microprocessor Family: The ProgrammerÕs Reference Guide (Motorola order #: MPCPRG

Strona 372

MOTOROLA Part IV. Communications Processor Module Part IV-vPart IV. Communications Processor ModuleTable vii. Acronyms and Abbreviated Terms Term M

Strona 373

Part IV-vi MOTOROLAPart IV. Communications Processor ModuleGUI Graphical user interfaceHDLC High-level data link controlI2C Inter-integrated circuit I

Strona 374

MOTOROLA Part IV. Communications Processor Module Part IV-viiPart IV. Communications Processor ModuleRT Real-timeRTOS Real-time operating systemRx

Strona 375

MOTOROLA Illustrations xliiiILLUSTRATIONSFigureNumberTitlePageNumber29-62 FCC Transmit Internal Rate Clocking...

Strona 376 - 10.8.2 Slow Devices Example

Part IV-viii MOTOROLAPart IV. Communications Processor Module

Strona 377

MOTOROLA Chapter 13. Communications Processor Module Overview 13-1Chapter 13 Communications Processor Module Overview130130The MPC8260Õs communica

Strona 378

13-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module¥ Four full-duplex serial communications controllers (SCCs)

Strona 379

MOTOROLA Chapter 13. Communications Processor Module Overview 13-3Part IV. Communications Processor ModuleFigure 13-1. MPC8260 CPM Block Diagram13

Strona 380

13-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module13.3 Communications Processor (CP) The communications proce

Strona 381

MOTOROLA Chapter 13. Communications Processor Module Overview 13-5Part IV. Communications Processor ModuleFigure 13-2 shows the CP block diagram.

Strona 382

13-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module13.3.3 PowerPC Core InterfaceThe CP communicates with the P

Strona 383 - Secondary (L2) Cache Support

MOTOROLA Chapter 13. Communications Processor Module Overview 13-7Part IV. Communications Processor Module13.3.5 Execution from RAMThe CP has an

Strona 384 - 11.1.2 Write-Through Mode

13-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleRCCR bit Þelds are described in Table 13-3.Bits 0 1 2 3 4 5

Strona 385

MOTOROLA Chapter 13. Communications Processor Module Overview 13-9Part IV. Communications Processor Module13.3.7 RISC Time-Stamp Control Register

Strona 386 - 11.1.3 ECC/Parity Mode

xliv MPC8260 PowerQUICC II UserÕs Manual MOTOROLAILLUSTRATIONSFigureNumberTitlePageNumber34-6 I2C Mode Register (I2MOD)...

Strona 387

13-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 13-4 describes RTSCR Þelds. 13.3.8 RISC Time-Stamp R

Strona 388

MOTOROLA Chapter 13. Communications Processor Module Overview 13-11Part IV. Communications Processor Module13.4 Command SetThe core issues comman

Strona 389 - 11.4 L2 Cache Operation

13-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module6Ð10 SBC Sub-block code. Set by the core to specify the sub

Strona 390 - 11.5 Timing Example

MOTOROLA Chapter 13. Communications Processor Module Overview 13-13Part IV. Communications Processor Module13.4.1.1 CP CommandsThe CP command opc

Strona 391

13-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe commands in Table 13-7 are described in Table 13-8. Tab

Strona 392

MOTOROLA Chapter 13. Communications Processor Module Overview 13-15Part IV. Communications Processor Module13.4.2 Command Register ExampleTo perf

Strona 393 - IEEE 1149.1 Test Access Port

13-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 13-8. Dual-Port RAM Memory MapThe dual-port RAM data

Strona 394 - 12.2 TAP Controller

MOTOROLA Chapter 13. Communications Processor Module Overview 13-17Part IV. Communications Processor ModuleOnly the parameters in the parameter RA

Strona 395 - 12.3 Boundary Scan Register

13-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module13.6 RISC Timer TablesThe CP can control up to 16 software

Strona 396

MOTOROLA Chapter 13. Communications Processor Module Overview 13-19Part IV. Communications Processor Moduletimer tables. These timers are clocked

Strona 397

MOTOROLA Tables xlvTABLESTableNumberTitlePageNumberi Acronyms and Abbreviated Terms...

Strona 398

13-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe RISC timer table parameter RAM area begins at the RISC

Strona 399

MOTOROLA Chapter 13. Communications Processor Module Overview 13-21Part IV. Communications Processor ModuleTM_CMD Þelds are described in Figure 13

Strona 400

13-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module13.6.5 SET TIMER CommandThe SET TIMER command is used to e

Strona 401

MOTOROLA Chapter 13. Communications Processor Module Overview 13-23Part IV. Communications Processor Module3. (Optional) Write 0x0000 to the TM_CN

Strona 402

13-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module13.6.10 Using the RISC Timers to Track CP LoadingThe RISC

Strona 403

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-1Chapter 14 Serial Interface with Time-Slot Assigner140140Figure 14-1 shows a blo

Strona 404

14-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 14-1. SI Block DiagramIf the time-slot assigner (TSA)

Strona 405

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-3Part IV. Communications Processor Module14.1 FeaturesEach SI has the following

Strona 406

14-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module14.2 OverviewThe TSA implements both internal route selecti

Strona 407

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-5Part IV. Communications Processor ModuleFigure 14-2. Various Configurations of a

Strona 408

xlvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLATABLESTableNumberTitlePageNumber4-21 PISCR Field Descriptions...

Strona 409

14-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleAt its most ßexible, the TSA can provide four separate TDM c

Strona 410

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-7Part IV. Communications Processor Moduleassociated with the dual-port RAM. One S

Strona 411

14-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 14-4. Enabling Connections to the TSA14.4 Serial Int

Strona 412

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-9Part IV. Communications Processor Module14.4.1 One Multiplexed Channel with Sta

Strona 413

14-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part IV. Communications Processor Module Figure 14-6. One TDM Channel with Shadow RAM for Dynamic

Strona 414

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-11 Part IV. Communications Processor Module Table 14-1. SI x RAM Entry (MCC =

Strona 415

14-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part IV. Communications Processor Module Figure 14-8 shows how SWTR can be used. Figure 14-8. Us

Strona 416

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-13 Part IV. Communications Processor Module When MCC = 1, the SI x RAM entry Þ

Strona 417

14-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part IV. Communications Processor Module First, divide the frame from the start (the sync) to the

Strona 418

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-15 Part IV. Communications Processor Module ¥ Dynamic routing. A TDMÕs routing

Strona 419

MOTOROLA Tables xlviiTABLESTableNumberTitlePageNumber10-12 60x Bus-Assigned UPM Refresh Timer (PURT) ...

Strona 420 - 12.4 Instruction Register

14-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLA Part IV. Communications Processor Module Figure 14-9. Example: SI x RAM Dynamic Changes, TDMa an

Strona 421

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-17 Part IV. Communications Processor Module 14.5 Serial Interface Registers Th

Strona 422 - 12.6 Nonscan Chain Operation

14-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 14-5 describes SIxMR Þelds.Bits 0 1 2 3 4 5 6 7 8 9 1

Strona 423 - Contents

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-19Part IV. Communications Processor Module6Ð7 RFSDx Receive frame sync delay for

Strona 424 - Part IV-ii MOTOROLA

14-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 14-12 shows the one-clock delay from sync to data wh

Strona 425

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-21Part IV. Communications Processor ModuleFigure 14-14 shows the effects of chang

Strona 426

14-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 14-16 shows the effects of changing FE when CE = 1 w

Strona 427

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-23Part IV. Communications Processor ModuleFigure 14-17 shows the effects of chang

Strona 428 - Part IV-vi MOTOROLA

14-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 14-6 describes SIxRSR Þelds. 14.5.4 SI Command Regis

Strona 429

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-25Part IV. Communications Processor ModuleTable 14-7 describes SIxCMDR Þelds.14.5

Strona 430 - Part IV-viii MOTOROLA

xlviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLATABLESTableNumberTitlePageNumber13-8 Command Descriptions ...

Strona 431 - Overview

14-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleIn the basic rate of IDL, data on three channels (B1, B2, a

Strona 432

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-27Part IV. Communications Processor ModuleFigure 14-22. IDL Terminal AdaptorThe M

Strona 433

14-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe basic rate IDL bus has the three following channels:¥ B

Strona 434 - 13.3.2 CP Block Diagram

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-29Part IV. Communications Processor Moduleof the D channel. If a collision is det

Strona 435

14-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFor example, based on the same 10-bit format as in Section

Strona 436 - 13.3.4 Peripheral Interface

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-31Part IV. Communications Processor Module19. SI1CMDR is not used.20. SI1STR does

Strona 437 - 13.3.5 Execution from RAM

14-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 14-24. GCI Bus SignalsIn addition to the 144-Kbps IS

Strona 438

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-33Part IV. Communications Processor Module14.7.1 SI GCI Activation/Deactivation

Strona 439

14-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFor example, assuming that SCC1 is connected to the D chann

Strona 440

MOTOROLA Chapter 14. Serial Interface with Time-Slot Assigner 14-35Part IV. Communications Processor Module14. Clear PSORB[17]. ConÞgures L1CLKO a

Strona 441 - 13.4 Command Set

MOTOROLA Tables xlixTABLESTableNumberTitlePageNumber18-14 Parallel I/O Register ProgrammingÑPort D...

Strona 442

14-36 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 443 - 13.4.1.1 CP Commands

MOTOROLA Chapter 15. CPM Multiplexing 15-1Chapter 15 CPM Multiplexing150150The CPM multiplexing logic (CMX) connects the physical layerÑUTOPIA, MI

Strona 444

15-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 15-1. CPM Multiplexing Logic (CMX) Block Diagram15.1

Strona 445 - 13.5 Dual-Port RAM

MOTOROLA Chapter 15. CPM Multiplexing 15-3Part IV. Communications Processor ModuleThe multiple-PHY addressing selection supports the following opt

Strona 446

15-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 15-2. Enabling Connections to the TSA15.3 NMSI ConÞg

Strona 447 - 13.5.2 Parameter RAM

MOTOROLA Chapter 15. CPM Multiplexing 15-5Part IV. Communications Processor ModuleFigure 15-3. Bank of ClocksThe eight BRGs also make their clocks

Strona 448 - 13.6 RISC Timer Tables

15-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNote that after a clock source is selected, the clock is giv

Strona 449 - SET TIMER to

MOTOROLA Chapter 15. CPM Multiplexing 15-7Part IV. Communications Processor Module15.4.1 CMX UTOPIA Address Register (CMXUAR)The CMX UTOPIA addre

Strona 450

15-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNote that each SADx and MADx corresponds to a pair of separa

Strona 451 - SET TIMER

MOTOROLA Chapter 15. CPM Multiplexing 15-9Part IV. Communications Processor ModuleFigure 15-6. Connection of the Slave AddressNote that the user m

Strona 452 - SET TIMER Command

MOTOROLA Contents v CONTENTS ParagraphNumberTitlePageNumber About This Book Before Using this ManualÑImportant Note...

Strona 453 - SET TIMER command

l MPC8260 PowerQUICC II UserÕs Manual MOTOROLATABLESTableNumberTitlePageNumber22-8 Transmit Errors...

Strona 454

15-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 15-7. Multi-PHY Receive Address Multiplexing15.4.2

Strona 455 - Chapter 14

MOTOROLA Chapter 15. CPM Multiplexing 15-11Part IV. Communications Processor ModuleTable 15-3 describes CMXSI1CR Þelds.15.4.3 CMX SI2 Clock Route

Strona 456 - Figure 14-1. SI Block Diagram

15-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 15-4 describes CMXSI2CR Þelds.15.4.4 CMX FCC Clock R

Strona 457 - 14.1 Features

MOTOROLA Chapter 15. CPM Multiplexing 15-13Part IV. Communications Processor ModuleTable 15-5 describes CMXFCR Þelds.Bits 0 1 2 3 4 5 6 7 8 9 10 1

Strona 458 - 14.2 Overview

15-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module15.4.5 CMX SCC Clock Route Register (CMXSCR)The CMX SCC cl

Strona 459

MOTOROLA Chapter 15. CPM Multiplexing 15-15Part IV. Communications Processor ModuleTable 15-6 describes CMXSCR Þelds.Bits 0 1 2 3 4 5 6 7 8 9 10 1

Strona 460

15-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module8 GR2 Grant support of SCC20 SCC2 transmitter does not supp

Strona 461

MOTOROLA Chapter 15. CPM Multiplexing 15-17Part IV. Communications Processor Module15.4.6 CMX SMC Clock Route Register (CMXSMR)The CMX SMC clock

Strona 462 - 14.4 Serial Interface RAM

15-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 15-7 describes CMXSMR Þelds.Bits 0 1 2 3 4 5 6 7Field

Strona 463

MOTOROLA Chapter 16. Baud-Rate Generators (BRGs) 16-1Chapter 16 Baud-Rate Generators (BRGs)160160The CPM contains eight independent, identical bau

Strona 464

MOTOROLA Tables liTABLESTableNumberTitlePageNumber26-17 SMC GCI Parameter RAM Memory Map...

Strona 465

16-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleEach BRG clock source can be BRGCLK, or a choice of two exte

Strona 466

MOTOROLA Chapter 16. Baud-Rate Generators (BRGs) 16-3Part IV. Communications Processor ModuleTable 16-1 describes the BRGCx Þelds. Table 16-2 show

Strona 467

16-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module16.2 Autobaud Operation on a UARTDuring the autobaud proces

Strona 468

MOTOROLA Chapter 16. Baud-Rate Generators (BRGs) 16-5Part IV. Communications Processor Module16.3 UART Baud Rate Examples For synchronous communi

Strona 469

16-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFor synchronous communication, the internal clock is identic

Strona 470

MOTOROLA Chapter 17. Timers 17-1Chapter 17 Timers170170The CPM includes four identical 16-bit general-purpose timers or two 32-bit timers. Eachgen

Strona 471

17-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module17.1 FeaturesThe key features of the timer include the foll

Strona 472

MOTOROLA Chapter 17. Timers 17-3Part IV. Communications Processor Moduleoutput can also be connected internally to the input of another timer, res

Strona 473

17-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 17-2. Timer Cascaded Mode Block DiagramIf TGCR[CAS] =

Strona 474

MOTOROLA Chapter 17. Timers 17-5Part IV. Communications Processor ModuleThe TGCR2 register is shown in Figure 17-4.Table 17-2 describes TGCR2 Þeld

Strona 475

lii MPC8260 PowerQUICC II UserÕs Manual MOTOROLATABLESTableNumberTitlePageNumber29-15 Receive and Transmit Connection Table Sizes ...

Strona 476

17-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module17.2.3 Timer Mode Registers (TMR1ÐTMR4)The four timer mode

Strona 477

MOTOROLA Chapter 17. Timers 17-7Part IV. Communications Processor Module17.2.4 Timer Reference Registers (TRR1ÐTRR4)Each timer reference register

Strona 478

17-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module17.2.5 Timer Capture Registers (TCR1ÐTCR4)Each timer captur

Strona 479

MOTOROLA Chapter 17. Timers 17-9Part IV. Communications Processor ModuleTable 17-4 describes TER Þelds.Table 17-4. TER Field DescriptionsBits Name

Strona 480 - 14.6.1 IDL Interface Example

17-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 481

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-1Chapter 18 SDMA Channels and IDMA Emulation180180The MPC8260 has two physical serial DMA

Strona 482 - Figure 14-23. IDL Bus Signals

18-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleOn a path 1 access, the SDMA channel must acquire the extern

Strona 483

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-3Part IV. Communications Processor ModuleFigure 18-2. SDMA Bus Arbitration (Transaction S

Strona 484

18-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.2.2 SDMA Mask Register (SDMR)The SDMA mask register (SDM

Strona 485 - Table 14-11. GCI Signals

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-5Part IV. Communications Processor Module18.3 IDMA EmulationThe CPM can be conÞgured to

Strona 486 - Figure 14-24. GCI Bus Signals

MOTOROLA Tables liiiTABLESTableNumberTitlePageNumber30-8 FPSMR Ethernet Field Descriptions ...

Strona 487 - 14.7.2.2 SCIT Programming

18-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModulePeripheral to/from memory features include the following:¥ E

Strona 488

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-7Part IV. Communications Processor ModuleFigure 18-5 shows the IDMA transfer buffer.Figur

Strona 489

18-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module¥ Last phase. The remaining data is read into the transfer b

Strona 490

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-9Part IV. Communications Processor ModuleBecause at least one of the transfer sizes (STS

Strona 491 - CPM Multiplexing

18-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData can be transferred between a peripheral and memory in

Strona 492 - 15.1 Features

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-11Part IV. Communications Processor Moduleperipheral. When the transfer buffer has fewer

Strona 493

18-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.5.3 Controlling 60x Bus BandwidthSTS, DTS, and SS_MAX c

Strona 494 - 15.3 NMSI ConÞguration

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-13Part IV. Communications Processor Moduleand DMA done (DONE[1Ð4]). DREQx may also be use

Strona 495 - Figure 15-3. Bank of Clocks

18-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleignored until the request begins to be serviced. The servic

Strona 496 - 15.4 CMX Registers

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-15Part IV. Communications Processor Moduleallocation and eliminates the need for core int

Strona 497

liv MPC8260 PowerQUICC II UserÕs Manual MOTOROLATABLESTableNumberTitlePageNumberA-3 Supervisor-Level PowerPC Registers (Non-SPR)...

Strona 498

18-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.8.2 IDMAx Parameter RAMWhen an IDMAx channel is conÞgur

Strona 499

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-17Part IV. Communications Processor Module0x0E STS Hword Source transfer size in bytes. A

Strona 500

18-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.8.2.1 DMA Channel Mode (DCM)The IDMA channel mode (DCM)

Strona 501

18-19 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module10 SINC Source increment address.0 Source address pointer (

Strona 502

18-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.8.2.2 Data Transfer Types as Programmed in DCMTable 18-

Strona 503

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-21Part IV. Communications Processor ModuleTable 18-7 describes valid STS/DTS values for m

Strona 504

18-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.8.3 IDMA PerformanceThe transfer parameters STS, DTS, S

Strona 505

18-23 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 18-9 describes IDSR/IDMR Þelds. 18.8.5 IDMA BDsSourc

Strona 506

18-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 18-10 describes IDMA BD Þelds.Table 18-10. IDMA BD Fi

Strona 507

18-25 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module11 DGBL Destination global0 Snooping is not activated.1 Sno

Strona 508

MOTOROLA About This Book lvAbout This BookThe primary objective of this manual is to help communications system designers buildsystems using the Motor

Strona 509 - Baud-Rate Generators (BRGs)

18-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.9 IDMA CommandsThe user has two commands to control eac

Strona 510

18-27 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleIn external request mode (ERM = 1), STOP_IDMA command proce

Strona 511

18-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.11 Programming the Parallel I/O RegistersThe parallel I

Strona 512

MOTOROLA Chapter 18. SDMA Channels and IDMA Emulation 18-29Part IV. Communications Processor ModuleTable 18-14 describes parallel I/O register pro

Strona 513

18-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module18.12.2 Memory-to-Peripheral Fly-By Mode (Both on 60x Bus)

Strona 514

18-31 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleDCM[ERM] = 1 Transfers from peripheral are initiated by DRE

Strona 515 - Chapter 17

18-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 516 - 17.1 Features

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-1Chapter 19 Serial Communications Controllers (SCCs)190190The MPC8260 has four se

Strona 517 - 17.2.1 Cascaded Mode

19-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleAssociated with each SCC is a digital phase-locked loop (DPL

Strona 518

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-3Part IV. Communications Processor Module¥ DPLL circuitry for clock recovery with

Strona 519

lvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLAOrganizationFollowing is a summary and a brief description of the chapters of this manual:¥ Part I, ÒO

Strona 520

19-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 19-1 describes GSMR_H Þelds. Table 19-1. GSMR_H Field

Strona 521

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-5Part IV. Communications Processor Module25 TFL Transmit FIFO length.0 Normal ope

Strona 522

19-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 19-3 shows GSMR_L.Table 19-2 describes GSMR_L Þelds.B

Strona 523

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-7Part IV. Communications Processor Module7 TINV DPLL Tx input invert data. Must b

Strona 524

19-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module24Ð25 DIAG Diagnostic mode. 00 Normal operation, CTS and CD

Strona 525 - Chapter 18

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-9Part IV. Communications Processor Module19.1.2 Protocol-SpeciÞc Mode Register (

Strona 526

19-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe CP can be conÞgured to begin processing a new frame/buf

Strona 527 - 18.2 SDMA Registers

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-11Part IV. Communications Processor Module¥ The word at offset + 0x4 (buffer poin

Strona 528

19-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 19-7. SCC BD and Buffer Memory StructureIn all proto

Strona 529 - 18.4 IDMA Features

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-13Part IV. Communications Processor Moduleset by the core (the buffer is empty).

Strona 530 - 18.5 IDMA Transfers

MOTOROLA About This Book lviiÑ Chapter 13, ÒCommunications Processor Module Overview,Ó provides a brief overview of the MPC8260 CPM.Ñ Chapter 14, ÒSer

Strona 531

19-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x06 MRBLR Hword Maximum receive buffer length. DeÞnes the

Strona 532 - Transfer (Size = 128 Bytes)

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-15Part IV. Communications Processor Module19.3.1 SCC Base AddressesThe CPM maint

Strona 533 - 18.5.1.2 Normal Mode

19-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 19-6 describes RFCRx/TFCRx Þelds. 19.3.3 Handling SC

Strona 534 - STOP_IDMA

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-17Part IV. Communications Processor ModuleFollow these steps to handle an SCC int

Strona 535

19-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module19.3.5 Controlling SCC Timing with RTS, CTS, and CDWhen GS

Strona 536 - 18.7 IDMA Interface Signals

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-19Part IV. Communications Processor ModuleFigure 19-10. Output Delay from CTS Ass

Strona 537 - 18.7.1.2 Edge-Sensitive Mode

19-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 19-11. CTS Lost in Synchronous ProtocolsNote that if

Strona 538 - 18.8 IDMA Operation

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-21Part IV. Communications Processor ModuleFigure 19-12. Using CD to Control Synch

Strona 539 - START_IDMA command is issued

19-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module19.3.6 Digital Phase-Locked Loop (DPLL) OperationEach SCC

Strona 540 - 18.8.2 IDMAx Parameter RAM

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-23Part IV. Communications Processor ModuleFigure 19-14. DPLL Transmitter Block Di

Strona 541 - START_IDMA command

lviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAÑ Chapter 27, ÒMulti-Channel Controllers (MCCs),Ó describes the MPC8260Õs multi-channel controller (

Strona 542 - DCM is undeÞned at reset

19-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe DPLL can also be used to invert the data stream of a tr

Strona 543

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-25Part IV. Communications Processor ModuleFigure 19-15. DPLL Encoding ExamplesIf

Strona 544

19-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module19.3.7 Clock Glitch DetectionClock glitches cause problems

Strona 545

MOTOROLA Chapter 19. Serial Communications Controllers (SCCs) 19-27Part IV. Communications Processor Module4. If an INIT TX PARAMETERS command was

Strona 546 - 18.8.3 IDMA Performance

19-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 547 - 18.8.5 IDMA BDs

MOTOROLA Chapter 20. SCC UART Mode 20-1Chapter 20 SCC UART Mode200200The universal asynchronous receiver transmitter (UART) protocol is commonly u

Strona 548

20-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleAll standards provide handshaking signals, but some systems

Strona 549

MOTOROLA Chapter 20. SCC UART Mode 20-3Part IV. Communications Processor Module¥ Frame error, noise error, break, and idle detection¥ Transmit pre

Strona 550 - STOP_IDMA Command

20-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulereceive shift register are transferred to the receive FIFO b

Strona 551 - 18.10 IDMA Bus Exceptions

MOTOROLA Chapter 20. SCC UART Mode 20-5Part IV. Communications Processor Module20.5 Data-Handling Methods: Character- or Message-BasedAn SCC UART

Strona 552

MOTOROLA About This Book lixSuggested ReadingThis section lists additional reading that provides background for the information in thismanual as well

Strona 553

20-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulehandling input data, a terminal driver may wait for an end-o

Strona 554 - START_IDMA

MOTOROLA Chapter 20. SCC UART Mode 20-7Part IV. Communications Processor ModuleReceive commands are described in Table 20-3. 20.8 Multidrop Syste

Strona 555

20-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 20-2. Two UART Multidrop Configurations20.9 Receivin

Strona 556

MOTOROLA Chapter 20. SCC UART Mode 20-9Part IV. Communications Processor ModuleTable 20-4 describes the data structure used in control character r

Strona 557 - Chapter 19

20-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module20.10 Hunt Mode (Receiver)A UART receiver in hunt mode rem

Strona 558 - 19.1 Features

MOTOROLA Chapter 20. SCC UART Mode 20-11Part IV. Communications Processor Module20.12 Sending a Break (Transmitter)A break is an all-zeros charac

Strona 559

20-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 20-6 describes DSR Þelds.20.15 Handling Errors in th

Strona 560

MOTOROLA Chapter 20. SCC UART Mode 20-13Part IV. Communications Processor ModuleReception errors are described in Table 20-8. 20.16 UART Mode Reg

Strona 561

20-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 20-9 describes PSMR UART Þelds.Bit 0 1 2 3 4 5 6 7 8

Strona 562 - Figure 19-3 shows GSMR_L

MOTOROLA Chapter 20. SCC UART Mode 20-15Part IV. Communications Processor Module20.17 SCC UART Receive Buffer Descriptor (RxBD)The CPM uses RxBDs

Strona 563

vi MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 1.7.2 Bus Configurations...

Strona 564 - CLOSE RXBD

lx MPC8260 PowerQUICC II UserÕs Manual MOTOROLA¥ Application notesÑThese short documents contain useful information about speciÞc design issues useful

Strona 565

20-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module¥ An ENTER HUNT MODE or CLOSE RXBD command is issued.¥ An a

Strona 566

MOTOROLA Chapter 20. SCC UART Mode 20-17Part IV. Communications Processor ModuleFigure 20-8 shows the SCC UART RxBD. Table 20-10 describes RxBD st

Strona 567

20-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleSection 19.2, ÒSCC Buffer Descriptors (BDs),Ó describes the

Strona 568

MOTOROLA Chapter 20. SCC UART Mode 20-19Part IV. Communications Processor ModuleThe data length and buffer pointer Þelds are described in Section

Strona 569 - 19.3 SCC Parameter RAM

20-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 20-10. SCC UART Interrupt Event ExampleSCCE bits are

Strona 570

MOTOROLA Chapter 20. SCC UART Mode 20-21Part IV. Communications Processor ModuleTable 20-12 describes SCCE Þelds for UART mode. 20.20 SCC UART St

Strona 571 - 19.3.1 SCC Base Addresses

20-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 20-13 describes UART SCCS Þelds.20.21 SCC UART Progr

Strona 572

MOTOROLA Chapter 20. SCC UART Mode 20-23Part IV. Communications Processor Module15. Write CHARACTER1Ð8 with 0x8000. They are not used.16. Write RC

Strona 573 - 19.3.4 Initializing the SCCs

20-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTo receive S-records, the core must wait for an RX interrup

Strona 574

MOTOROLA Chapter 21. SCC HDLC Mode 21-1Chapter 21 SCC HDLC Mode210210High-level data link control (HDLC) is one of the most common protocols in th

Strona 575

MOTOROLA About This Book lxiAcronyms and AbbreviationsTable i contains acronyms and abbreviations used in this document. Note that the meaningsfor som

Strona 576

21-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module21.1 SCC HDLC FeaturesThe main features of an SCC in HDLC m

Strona 577

MOTOROLA Chapter 21. SCC HDLC Mode 21-3Part IV. Communications Processor Moduleinsert a high-priority frame without aborting the current oneÑa gra

Strona 578

21-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module Table 21-1. HDLC-Specific SCC Parameter RAM Memory Map Offs

Strona 579

MOTOROLA Chapter 21. SCC HDLC Mode 21-5Part IV. Communications Processor ModuleFigure 21-2 shows 16- and 8-bit address recognition. Figure 21-2. H

Strona 580

21-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleReceive commands are described in Table 21-3.21.7 Handling

Strona 581 - Table 19-9. DPLL Codings

MOTOROLA Chapter 21. SCC HDLC Mode 21-7Part IV. Communications Processor Module21.8 HDLC Mode Register (PSMR)The protocol-speciÞc mode register (

Strona 582 - 19.3.8 ReconÞguring the SCCs

21-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module21.9 SCC HDLC Receive Buffer Descriptor (RxBD)The CP uses t

Strona 583 - 19.3.9 Saving Power

MOTOROLA Chapter 21. SCC HDLC Mode 21-9Part IV. Communications Processor ModuleTable 21-7 describes HDLC RxBD status and control Þelds.Data length

Strona 584

21-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulelast buffer of a frame contains the total number of frame b

Strona 585 - SCC UART Mode

MOTOROLA Chapter 21. SCC HDLC Mode 21-11Part IV. Communications Processor Module21.10 SCC HDLC Transmit Buffer Descriptor (TxBD)The CP uses the T

Strona 586 - 20.1 Features

lxii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAFPU Floating-point unitGCI General circuit interface GPCM General-purpose chip-select machine GPR Gen

Strona 587 - 20.3 Synchronous Mode

21-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe data length and buffer pointer Þelds are described in S

Strona 588 - 20.4 SCC UART Parameter RAM

MOTOROLA Chapter 21. SCC HDLC Mode 21-13Part IV. Communications Processor ModuleFigure 21-8 shows interrupts that can be generated using the HDLC

Strona 589

21-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module21.12 SCC HDLC Status Register (SCCS)The SCC status regist

Strona 590 - 20.7 SCC UART Commands

MOTOROLA Chapter 21. SCC HDLC Mode 21-15Part IV. Communications Processor Module21.13.1 SCC HDLC Programming Example #1The following initializati

Strona 591 - Table 20-3. Receive Commands

21-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module19. Initialize the TxBD. Assume the Tx data frame is at 0x0

Strona 592

MOTOROLA Chapter 21. SCC HDLC Mode 21-17Part IV. Communications Processor Module21.14 HDLC Bus Mode with Collision DetectionThe HDLC controller i

Strona 593

21-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 21-10 shows the most common HDLC bus LAN conÞguratio

Strona 594 - Data Stream

MOTOROLA Chapter 21. SCC HDLC Mode 21-19Part IV. Communications Processor ModuleFigure 21-11. Typical HDLC Bus Single-Master Configuration21.14.1

Strona 595 - TRANSMIT

21-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduletransmission stops after that bit and waits for an idle lin

Strona 596

MOTOROLA Chapter 21. SCC HDLC Mode 21-21Part IV. Communications Processor ModuleFigure 21-13. Nonsymmetrical Tx Clock Duty Cycle for Increased Per

Strona 597 - Table 20-8. Reception Errors

MOTOROLA About This Book lxiiiPCI Peripheral component interconnectPCMCIA Personal Computer Memory Card International AssociationPIR Processor identiÞ

Strona 598

21-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 21-15. Delayed RTS Mode21.14.5 Using the Time-Slot

Strona 599

MOTOROLA Chapter 21. SCC HDLC Mode 21-23Part IV. Communications Processor Module21.14.6 HDLC Bus Protocol ProgrammingThe HDLC bus on the MPC8260

Strona 600

21-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 601

MOTOROLA Chapter 22. SCC BISYNC Mode 22-1Chapter 22 SCC BISYNC Mode220220The byte-oriented BISYNC protocol was developed by IBM for use in network

Strona 602

22-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduletransmission, an underrun must not occur between the DLE and

Strona 603 - Register (SCCM)

MOTOROLA Chapter 22. SCC BISYNC Mode 22-3Part IV. Communications Processor ModuleIf no additional buffers have been sent to the controller for tra

Strona 604 - SCCM for UART operation

22-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleGSMR[MODE] determines the protocol for each SCC. The SYN1ÐSY

Strona 605 - GRACEFUL

MOTOROLA Chapter 22. SCC BISYNC Mode 22-5Part IV. Communications Processor Module22.5 SCC BISYNC CommandsTransmit and receive commands are issued

Strona 606 - 1 The line is idle

22-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module22.6 SCC BISYNC Control Character RecognitionThe BISYNC con

Strona 607

MOTOROLA Chapter 22. SCC BISYNC Mode 22-7Part IV. Communications Processor ModuleTable 22-4 describes control character table and RCCM Þelds.22.7

Strona 608

lxiv MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPowerPC Architecture Terminology ConventionsTable ii lists certain terms used in this manual that dif

Strona 609 - SCC HDLC Mode

22-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 22-5 describes BSYNC Þelds. 22.8 SCC BISYNC DLE Regis

Strona 610 - 21.1 SCC HDLC Features

MOTOROLA Chapter 22. SCC BISYNC Mode 22-9Part IV. Communications Processor ModuleTable 22-6 describes BDLE Þelds. 22.9 Sending and Receiving the

Strona 611 - 21.4 SCC HDLC Parameter RAM

22-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 22-8 describes transmit errors.Table 22-9 describes r

Strona 612

MOTOROLA Chapter 22. SCC BISYNC Mode 22-11Part IV. Communications Processor ModuleTable 22-10 describes PSMR Þelds.Table 22-10. PSMR Field Descrip

Strona 613 - 21.6 SCC HDLC Commands

22-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module22.12 SCC BISYNC Receive BD (RxBD)The CP uses BDs to repor

Strona 614 - Table 21-5. Receive Errors

MOTOROLA Chapter 22. SCC BISYNC Mode 22-13Part IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Section 19

Strona 615

22-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module22.13 SCC BISYNC Transmit BD (TxBD)The CP arranges data to

Strona 616

MOTOROLA Chapter 22. SCC BISYNC Mode 22-15Part IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Section 19

Strona 617

22-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 22-13 describes SCCE and SCCM Þelds. 22.15 SCC Statu

Strona 618

MOTOROLA Chapter 22. SCC BISYNC Mode 22-17Part IV. Communications Processor ModuleTable 22-14 describes SCCS Þelds. 22.16 Programming the SCC BIS

Strona 619

MOTOROLA About This Book lxvTable iii describes instruction Þeld notation conventions used in this manual. Table iii. Instruction Field Conventions Th

Strona 620

22-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleAfter ETX, a BCS is expected; then the buffer should be clo

Strona 621

MOTOROLA Chapter 22. SCC BISYNC Mode 22-19Part IV. Communications Processor Module13. Write BSYNC with 0x8033, assuming a SYNC value of 0x33.14. W

Strona 622

22-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 623

MOTOROLA Chapter 23. SCC Transparent Mode 23-1Chapter 23 SCC Transparent Mode230230Transparent mode (also called totally transparent or promiscuou

Strona 624

23-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module¥ Another protocol can be performed on the other half of the

Strona 625

MOTOROLA Chapter 23. SCC Transparent Mode 23-3Part IV. Communications Processor ModuleAfter a buffer is full, the SCC clears RxBD[E] and generates

Strona 626

23-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNote that the transparent controller does not automatically

Strona 627 - 21.14.1 HDLC Bus Features

MOTOROLA Chapter 23. SCC Transparent Mode 23-5Part IV. Communications Processor ModuleFigure 23-1. Sending Transparent Frames between MPC8260sMPC8

Strona 628

23-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNote that when using the TSA, a newly-enabled transmitter se

Strona 629 - 21.14.4 Delayed RTS Mode

MOTOROLA Chapter 23. SCC Transparent Mode 23-7Part IV. Communications Processor ModuleCRC_P and CRC_C overlap with the CRC parameters for the HDLC

Strona 630

lxvi MPC8260 PowerQUICC II UserÕs Manual MOTOROLA

Strona 631

23-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 23-4 describes receive commands.23.8 Handling Errors

Strona 632

MOTOROLA Chapter 23. SCC Transparent Mode 23-9Part IV. Communications Processor Module23.9 Transparent Mode and the PSMRThe protocol-speciÞc mode

Strona 633 - SCC BISYNC Mode

23-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Secti

Strona 634 - 22.1 Features

MOTOROLA Chapter 23. SCC Transparent Mode 23-11Part IV. Communications Processor ModuleTable 23-8 describes SCC Transparent TxBD status and contro

Strona 635

23-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Secti

Strona 636

MOTOROLA Chapter 23. SCC Transparent Mode 23-13Part IV. Communications Processor Module23.13 SCC Status Register in Transparent Mode (SCCS)The SC

Strona 637 - 22.5 SCC BISYNC Commands

23-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module1. ConÞgure port D pins to enable TXD2 and RXD2. Set PPARD[

Strona 638

MOTOROLA Chapter 23. SCC Transparent Mode 23-15Part IV. Communications Processor ModuleNote that after 5 bytes are sent, the Tx buffer is closed a

Strona 639

23-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 640

MOTOROLA Chapter 24. SCC Ethernet Mode 24-1Chapter 24 SCC Ethernet Mode240240The Ethernet IEEE 802.3 protocol is a widely used LAN protocol based

Strona 641 - Sequence

MOTOROLA Part I. Overview Part I-lxviiPart IOverviewIntended AudiencePart I is intended for readers who need a high-level understanding of the MP

Strona 642 - Table 22-9. Receive Errors

24-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulea random period of time, called a backoff, before trying to

Strona 643

MOTOROLA Chapter 24. SCC Ethernet Mode 24-3Part IV. Communications Processor Module24.2 FeaturesThe following list summarizes the main features o

Strona 644 - Figure 22-6. SCC BISYNC RxBD

24-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleÑ Number of retries per frameÑ Deferred frame indicationÑ La

Strona 645

MOTOROLA Chapter 24. SCC Ethernet Mode 24-5Part IV. Communications Processor ModuleFigure 24-3. Connecting the MPC8260 to EthernetThe EEST has sim

Strona 646

24-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe Ethernet controller stores the Þrst 5 to 8 bytes of the

Strona 647

MOTOROLA Chapter 24. SCC Ethernet Mode 24-7Part IV. Communications Processor Moduleaddress recognition on the frame. The receiver can receive phys

Strona 648 - ENTER HUNT MODE command

24-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module24.7 SCC Ethernet Parameter RAMFor Ethernet mode, the proto

Strona 649

MOTOROLA Chapter 24. SCC Ethernet Mode 24-9Part IV. Communications Processor Module0x54 MAX_B Hword Maximum BD byte count. 0x58 GADDR1 Hword Group

Strona 650

24-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module24.8 Programming the Ethernet ControllerThe core conÞgures

Strona 651

MOTOROLA Chapter 24. SCC Ethernet Mode 24-11Part IV. Communications Processor ModuleTable 24-3 describes receive commands. Note that after a CPM r

Strona 652

Part I-lxviii MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewREG[FIELD] Abbreviations or acronyms for registers or buffer descriptors are

Strona 653 - SCC Transparent Mode

24-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 24-4. Ethernet Address Recognition FlowchartIn group

Strona 654

MOTOROLA Chapter 24. SCC Ethernet Mode 24-13Part IV. Communications Processor ModuleIf the external CAM stores addresses that should be rejected r

Strona 655 - ENTER HUNT MODE

24-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleIf a collision occurs while a frame is being received, rece

Strona 656

MOTOROLA Chapter 24. SCC Ethernet Mode 24-15Part IV. Communications Processor ModuleTable 24-4 describes reception errors. 24.17 Ethernet Mode Re

Strona 657

24-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 24-6 describes PSMR Þelds.Table 24-6. PSMR Field Desc

Strona 658

MOTOROLA Chapter 24. SCC Ethernet Mode 24-17Part IV. Communications Processor Module24.18 SCC Ethernet Receive BDThe Ethernet controller uses the

Strona 659

24-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Secti

Strona 660 - Table 23-6. Receive Errors

MOTOROLA Chapter 24. SCC Ethernet Mode 24-19Part IV. Communications Processor ModuleFigure 24-7. Ethernet Receiving using RxBDs24.19 SCC Ethernet

Strona 661 - Descriptions

24-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleconÞrm transmission or indicate errors so the core knows bu

Strona 662 - Descriptions (Continued)

MOTOROLA Chapter 24. SCC Ethernet Mode 24-21Part IV. Communications Processor ModuleData length and buffer pointer Þelds are described in Section

Strona 663

MOTOROLA Part I. Overview Part I-lxixPart I. OverviewISDN Integrated services digital networkITLB Instruction translation lookaside bufferIU Inte

Strona 664

24-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 24-10 shows an example of interrupts that can be gen

Strona 665

MOTOROLA Chapter 24. SCC Ethernet Mode 24-23Part IV. Communications Processor ModuleNote that the SCC status register (SCCS) cannot be used with t

Strona 666

24-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module20. Initialize the TxBD and assume the Tx data frame is at

Strona 667

MOTOROLA Chapter 25. SCC AppleTalk Mode 25-1Chapter 25 SCC AppleTalk Mode250250AppleTalk is a set of protocols developed by Apple Computer, Inc. t

Strona 668

25-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe control byte within the LocalTalk frame indicates the ty

Strona 669 - SCC Ethernet Mode

MOTOROLA Chapter 25. SCC AppleTalk Mode 25-3Part IV. Communications Processor Module25.3 Connecting to AppleTalkAs shown in Figure , the MPC8260

Strona 670 - 24.1 Ethernet on the MPC8260

25-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module5. Clear TEND for default operation.6. Set TPP to 0b11 for a

Strona 671 - 24.2 Features

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-1Chapter 26 Serial Management Controllers (SMCs)260260The two serial management contr

Strona 672

26-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 26-1. SMC Block DiagramThe receive data source can be

Strona 673

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-3Part IV. Communications Processor Module¥ Full-duplex operation¥ Local loopback and

Strona 674 - RESTART TRANSMIT command, it

MOTOROLA Contents vii CONTENTS ParagraphNumberTitlePageNumber 2.5.1 PowerPC Exception Model ...

Strona 675

Part I-lxx MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewTx TransmitUART Universal asynchronous receiver/transmitterUISA User instructio

Strona 676 - Table 24-1

26-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 26-1 describes SMCMR Þelds.Table 26-1. SMCMR1/SMCMR2 F

Strona 677 - SET GROUP

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-5Part IV. Communications Processor Module26.2.2 SMC Buffer Descriptor OperationIn UA

Strona 678 - 24.9 SCC Ethernet Commands

26-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe BD table allows buffers to be deÞned for transmission an

Strona 679 - Table 24-3. Receive Commands

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-7Part IV. Communications Processor ModuleTo extract data from a partially full receiv

Strona 680

26-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleCertain parameter RAM values must be initialized before the

Strona 681 - 24.13 Handling Collisions

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-9Part IV. Communications Processor Module26.2.4 Disabling SMCs On-the-FlyAn SMC can

Strona 682 - RESTART

26-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.2.4.4 SMC Receiver Shortcut SequenceThis shorter sequen

Strona 683 - Table 24-5. Reception Errors

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-11Part IV. Communications Processor ModuleHowever, SMCs allow a data length of up to

Strona 684

26-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.3.3 SMC UART Channel Reception ProcessWhen the core ena

Strona 685 - Field Descriptions

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-13Part IV. Communications Processor ModuleTable 26-5 describes receive commands issue

Strona 686

MOTOROLA Chapter 1. Overview 1-1Chapter 1 Overview1010The MPC8260 PowerQUICC IIª is a versatile communications processor that integrateson one ch

Strona 687

26-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.3.9 SMC UART RxBDUsing the BDs, the CP reports informat

Strona 688

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-15Part IV. Communications Processor ModuleData length represents the number of octets

Strona 689

26-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 26-7. RxBD Example26.3.10 SMC UART TxBDData is sent

Strona 690

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-17Part IV. Communications Processor ModuleTable 26-8 describes SMC UART TxBD Þelds. D

Strona 691 - INIT RX AND TX PARAMETERS

26-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulemust be even. For instance, the pointer to 8-bit data, 1 st

Strona 692

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-19Part IV. Communications Processor ModuleFigure 26-10. SMC UART Interrupts Example26

Strona 693 - SCC AppleTalk Mode

26-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module9. Write MAX_IDL with 0x0000 in the SMC UART-speciÞc parame

Strona 694 - 25.2 Features

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-21Part IV. Communications Processor ModuleHowever, the SMC in transparent mode provid

Strona 695 - 25.3 Connecting to AppleTalk

26-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.4.3 SMC Transparent Channel Reception ProcessWhen the c

Strona 696 - 25.4.3 Programming the TODR

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-23Part IV. Communications Processor ModuleFigure 26-11. Synchronization with SMSYNxIf

Strona 697 - Chapter 26

1-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewÑ PowerPC architecture-compliant memory management unit (MMU)Ñ Common on-chip processo

Strona 698 - 26.1 Features

26-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 26-12. Synchronization with the TSAOnce SMCMR[REN] i

Strona 699

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-25Part IV. Communications Processor Modulealways ready and that underruns do not occu

Strona 700

26-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.4.8 SMC Transparent RxBDUsing BDs, the CP reports infor

Strona 701

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-27Part IV. Communications Processor ModuleData length and buffer pointer Þelds are de

Strona 702 - 26.2.3 SMC Parameter RAM

26-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData length represents the number of octets the CP should t

Strona 703 - CLOSE RXBD command

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-29Part IV. Communications Processor Module26.4.11 SMC Transparent NMSI Programming E

Strona 704

26-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.5 The SMC in GCI ModeThe SMC can control the C/I and mo

Strona 705

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-31Part IV. Communications Processor Module26.5.2 Handling the GCI Monitor ChannelThe

Strona 706 - 26.3 SMC in UART Mode

26-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module26.5.4 SMC GCI CommandsThe commands in Table 26-18 are iss

Strona 707 - 26.3.1 Features

MOTOROLA Chapter 26. Serial Management Controllers (SMCs) 26-33Part IV. Communications Processor ModuleTable 26-20 describes SMC monitor channel T

Strona 708 - Table 26-4. Transmit Commands

MOTOROLA Chapter 1. Overview 1-3Part I. OverviewÑ Byte write enables and selectable parity generationÑ 32-bit address decodes with programmable ba

Strona 709 - 26.3.7 Sending a Preamble

26-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 26-22 describes SMC C/I channel TxBD Þelds. 26.5.9 S

Strona 710 - 26.3.9 SMC UART RxBD

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-1Chapter 27 Multi-Channel Controllers (MCCs)270270The MPC8260Õs two multi-channel control

Strona 711

27-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module27.2 MCC Data Structure OrganizationEach MCC uses the follo

Strona 712 - 26.3.10 SMC UART TxBD

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-3Part IV. Communications Processor ModuleFigure 27-1. BD Structure for One MCC27.3 Globa

Strona 713 - Figure 26-8. SMC UART TxBD

27-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x0C RINTTMP Word Temporary location for holding the receive

Strona 714

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-5Part IV. Communications Processor Module27.4 Channel Extra ParametersTable 27-2 describ

Strona 715

27-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulechannels which uses the slot synchronization. Figure 27-5 sh

Strona 716 - 26.4 SMC in Transparent Mode

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-7Part IV. Communications Processor ModuleFigure 27-4. Receiver Super Channel with Slot Sy

Strona 717 - 26.4.1 Features

27-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module27.6 Channel-SpeciÞc HDLC ParametersTable 27-3 describes ch

Strona 718

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-9Part IV. Communications Processor Module27.6.1 Internal Transmitter State (TSTATE)Inter

Strona 719

1-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewÑ Two serial management controllers (SMCs), identical to those of the MPC860Ð Provide

Strona 720

27-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTo enable an interrupt, set the corresponding bit. If a bit

Strona 721

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-11Part IV. Communications Processor Module27.6.4 Internal Receiver State (RSTATE)Interna

Strona 722 - 26.4.8 SMC Transparent RxBD

27-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleRSTATE high-byte Þelds are described in Table 27-6. 27.7 C

Strona 723 - 26.4.9 SMC Transparent TxBD

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-13Part IV. Communications Processor Module27.7.1 Channel Mode Register (CHAMR)ÑTranspare

Strona 724

27-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleCHAMR Þelds are described in Table 27-5, Bits 0 1 2 3 4 5 6

Strona 725

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-15Part IV. Communications Processor Module27.8 MCC ConÞguration Registers (MCCFx)The MCC

Strona 726 - 26.5 The SMC in GCI Mode

27-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNote that the TDM group channel assignments made in MCCF mu

Strona 727 - TIMEOUT command

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-17Part IV. Communications Processor ModuleTable 27-12 describes receive commands. 27.10

Strona 728 - 26.5.4 SMC GCI Commands

27-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleend of the table). When an MCC channel generates an interru

Strona 729

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-19Part IV. Communications Processor ModuleTable 27-13 describes MCCE Þelds. 27.10.1.1 In

Strona 730

MOTOROLA Chapter 1. Overview 1-5Part I. OverviewFigure 1-1. MPC8260 Block DiagramBoth the system core and the CPM have an internal PLL, which allo

Strona 731 - Chapter 27

27-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 27-14 describes interrupt circular table Þelds. Bits

Strona 732

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-21Part IV. Communications Processor Module27.11 MCC Buffer DescriptorsEach MCC channel r

Strona 733 - 27.3 Global MCC Parameters

27-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module5 F First in frame. The HDLC controller sets F = 1 for the

Strona 734

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-23Part IV. Communications Processor ModuleThe data length and buffer pointer are describe

Strona 735 - 27.5 Super-Channel Table

27-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe data length and buffer pointer are described below:¥ Da

Strona 736

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-25Part IV. Communications Processor Module27.12.1 Single-Channel InitializationThe follo

Strona 737

27-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module27.12.2 Super Channel InitializationThe following steps in

Strona 738

MOTOROLA Chapter 27. Multi-Channel Controllers (MCCs) 27-27Part IV. Communications Processor ModuleIf multiple synchronized channels are used (as

Strona 739 - Figure 27-6. TSTATE High Byte

27-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 740 - Figure 27-7. INTMSK Mask Bits

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-1Chapter 28 Fast Communications Controllers (FCCs)280280The MPC8260Õs fast communic

Strona 741

1-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewThe MPC603e core has an internal common on-chip (COP) debug processor. Thisprocessor a

Strona 742

28-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module28.1 OverviewMPC8260 FCCs can be conÞgured independently to

Strona 743

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-3Part IV. Communications Processor ModuleFigure 28-1. FCC Block Diagram28.2 Genera

Strona 744

28-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 28-1 describes GFMR Þelds. Table 28-1. GFMR Register F

Strona 745

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-5Part IV. Communications Processor Module5 CDP CD pulse (transparent mode only)0 No

Strona 746 - 27.9 MCC Commands

28-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module22Ð23 TENC Transmitter encoding method. The user should set

Strona 747 - 27.10 MCC Exceptions

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-7Part IV. Communications Processor Module28.3 FCC Protocol-SpeciÞc Mode Registers

Strona 748 - Reset 0000_0000_0000_0000

28-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFields in the TODR are described in Table 28-428.6 FCC Buff

Strona 749

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-9Part IV. Communications Processor ModuleFigure 28-3. FCC Memory StructureThe forma

Strona 750

28-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe BDs and data buffers can be anywhere in the system memo

Strona 751 - 27.11 MCC Buffer Descriptors

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-11Part IV. Communications Processor ModuleSome parameter RAM values must be initial

Strona 752 - Invalid data

MOTOROLA Chapter 1. Overview 1-7Part I. OverviewThe following list summarizes the major features of the CPM:¥ The CP is an embedded 32-bit RISC co

Strona 753

28-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x0C RBASE Word RxBD base address (must be divisible by eig

Strona 754

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-13Part IV. Communications Processor Module28.7.1 FCC Function Code Registers (FCRx

Strona 755

28-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleEvents that can cause the FCC to interrupt the processor va

Strona 756

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-15Part IV. Communications Processor Module6. Write the FDSR.7. Initialize the requi

Strona 757

28-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleRTS is asserted when FCC has data to transmit in the transm

Strona 758

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-17Part IV. Communications Processor ModuleFigure 28-7. Output Delay from CTS Assert

Strona 759 - Chapter 28

28-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 28-8. CTS LostNote that if GFMR[CTSS] = 1, all CTS t

Strona 760 - 28.1 Overview

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-19Part IV. Communications Processor ModuleFigure 28-9. Using CD to Control Receptio

Strona 761 - GFMR format

28-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module28.12.1 FCC Transmitter Full SequenceFor the FCC transmitt

Strona 762

MOTOROLA Chapter 28. Fast Communications Controllers (FCCs) 28-21Part IV. Communications Processor Module28.12.4 FCC Receiver Shortcut SequenceA

Strona 763

1-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewNOTEA bar over a signal name indicates that the signal is activelowÑfor example, BB (b

Strona 764

28-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 765 - (FPSMRx)

MOTOROLA Chapter 29. ATM Controller 29-1Chapter 29 ATM Controller290290The ATM controller provides the ATM and AAL layers of the ATM protocol usi

Strona 766 - 28.6 FCC Buffer Descriptors

MOTOROLA Chapter 29. ATM Controller 29-2Part IV. Communications Processor Module29.1 FeaturesThe ATM controller has the following features:¥ Ful

Strona 767

29-3 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleÐ Sequence number checkÐ Sequence number protection (CRC-3 a

Strona 768 - 28.7 FCC Parameter RAM

MOTOROLA Chapter 29. ATM Controller 29-4Part IV. Communications Processor Module¥ Available bit rate (ABR)Ñ Performs ATMF UNI 4.0 ABR ßow control

Strona 769

29-5 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.2.1 Transmitter OverviewBefore the transmitter is enable

Strona 770

29-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulegenerated and inserted into the cell. The MPC8260 supports s

Strona 771

29-7 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleReception starts when the PHY asserts the receive cell avail

Strona 772 - 28.9 FCC Initialization

29-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleÞrst byte of the new buffer. If an SN mismatch is detected,

Strona 773 - 28.11 FCC Timing Control

29-9 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFor information about cell rate pacing, see Section 29.3.5,

Strona 774

MOTOROLA Chapter 1. Overview 1-9Part I. Overview1.4 Differences between MPC860 and MPC8260 The following MPC860 features are not included in the

Strona 775

29-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-1. APC Scheduling Table MechanismEach 2-byte time

Strona 776 - Figure 28-8. CTS Lost

29-11 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.3.3.2 Determining the Number of Slots in a Scheduling T

Strona 777

29-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe resulting number of slots is written into TCT[PCR] and

Strona 778

29-13 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleEquation D yields the number of slots the user writes to th

Strona 779 - 28.13 Saving Power

29-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.4 VCI/VPI Address Lookup MechanismThe MPC8260 supports

Strona 780

29-15 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe external CAM Þelds are described in Table 29-229.4.2 A

Strona 781 - ATM Controller

29-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-5. Address Compression MechanismFigure 29-5 shows

Strona 782 - 29.1 Features

29-17 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.4.2.1 VP-Level Address Compression Table (VPLT)The size

Strona 783

29-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-7 shows the VP pointer address compression from T

Strona 784 - 29.2 ATM Controller Overview

29-19 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.4.4 Receive Raw Cell QueueChannel one in the RCT is res

Strona 785 - 29.2.1 Transmitter Overview

viii MPC8260 PowerQUICC II UserÕs Manual MOTOROLA CONTENTS ParagraphNumberTitlePageNumber 4.3.2.2 60x Bus Arbiter Configuration Register (PPC_ACR) ..

Strona 786 - 29.2.2 Receiver Overview

1-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview1.6 MPC8260 ConÞgurationsThe MPC8260 offers ßexibility in conÞguring the device for

Strona 787

29-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.5 Available Bit Rate (ABR) Flow ControlWhile CBR servic

Strona 788 - 29.2.4 ABR Flow Control

29-21 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe MPC8260 ABR ßow control implements both source and dest

Strona 789 - Table 29-1. ATM Service Types

29-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module3. The CCR and MCR Þelds are taken from the F-RM and is not

Strona 790 - Cell Rescheduling

29-23 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-12. ABR Transmit Flow (Continued) RM/DATA In Rate

Strona 791

29-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-13. ABR Transmit Flow (Continued)B-RM/DATA In Rat

Strona 792 - Conforming VBR Traffic

29-25 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-14. ABR Receive Flow 29.5.2 RM Cell StructureTab

Strona 793

29-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.5.2.1 RM Cell Rate RepresentationRates in the RM cells

Strona 794 - 29.4.1 External CAM Lookup

29-27 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.5.3 ABR Flow Control SetupFollow these steps to setup A

Strona 795 - 29.4.2 Address Compression

29-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-9 lists pre-assigned header values at the network-

Strona 796

29-29 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.6.5 Transmitting OAM F4 or F5 CellsOAM F4/F5 ßow cells

Strona 797

MOTOROLA Chapter 1. Overview 1-11Part I. OverviewFCCs can also be used to run slower HDLC or 10 BaseT, for example. The CPÕs RISCarchitecture has

Strona 798 - 29.4.3 Misinserted Cells

29-30 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-10 describes performance monitoring cell Þelds. 29

Strona 799

29-31 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleBEDC is calculated. When an FMC is received, the CP adds th

Strona 800 - 29.5.1 The ABR Model

29-32 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-18. FMC, BRC Insertion29.6.6.4 BRC Performance C

Strona 801

29-33 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFor AAL5 and AAL1 the extra header is taken from the Rx and

Strona 802 - 29.5.1.3 ABR Flowcharts

29-34 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleCounters are implemented in the dual-port RAM for each PHY

Strona 803

29-35 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-21. ATM-to-TDM Interworking When going from TDM t

Strona 804

29-36 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulecope with the ATM networkÕs CDV), set ATM RxBD[I]. When the

Strona 805 - 29.5.2 RM Cell Structure

29-37 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulecore then moves the buffer pointer to the MCC. The bufferÕs

Strona 806

29-38 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-11. ATM Parameter RAM Map Offset1Name Width Descri

Strona 807 - 29.6 OAM Support

29-39 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x6C BD_BASE_EXT Word BD table base address extension. BD_B

Strona 808

1-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewIn this application, eight TDM ports are connected to external framers. In the MPC826

Strona 809

29-40 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.1.1 Determining UEAD_OFFSET (UEAD Mode Only)The UEAD

Strona 810 - 29.6.6.2 PM Block Monitoring

29-41 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.1.3 Global Mode Entry (GMODE)Figure 29-23 shows the

Strona 811 - 29.6.6.3 PM Block Generation

29-42 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleuse ABR, VBR or UBR+ services. Each connection table entry

Strona 812 - 29.7 User-DeÞned Cells (UDC)

29-43 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-24. Example of a 1024-Entry Receive Connection Ta

Strona 813 - 29.8 ATM Layer Statistics

29-44 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15Offset + 0x00 Ñ GBL BO

Strona 814

29-45 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-16 describes RCT Þelds.Table 29-16. RCT Field Desc

Strona 815

29-46 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.2.1 AAL5 Protocol-SpeciÞc RCTFigure 29-26 shows th

Strona 816 - 29.9.6 CAS Support

29-47 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-17 describes AAL5 protocol speciÞc RCT Þelds. 29.1

Strona 817 - 29.10 ATM Memory Structure

29-48 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-18 describes AAL5-ABR protocol-speciÞc RCT Þelds.2

Strona 818

29-49 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-19. AAL1 Protocol-Specific RCT Field Descriptions

Strona 819

MOTOROLA Chapter 1. Overview 1-13Part I. OverviewIn this application, the MPC8260 is connected to four TDM interfaces channalizing up to128 channe

Strona 820

29-50 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.2.4 AAL0 Protocol-SpeciÞc RCT Figure 29-29 shows t

Strona 821

29-51 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.3 Transmit Connection Table (TCT)Figure 29-30 show

Strona 822 - 29.10.2.1 ATM Channel Code

29-52 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-21. TCT Field Descriptions Offset Bits Name Descri

Strona 823

29-53 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x02 0 Ñ Internal use only. Initialize to 0. 1 INF Used for

Strona 824

29-54 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.3.1 AAL5 Protocol-SpeciÞc TCTFigure 29-31 shows th

Strona 825

29-55 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-23 describes AAL1 protocol-speciÞc TCT Þelds.29.10

Strona 826

29-56 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-24 describes AAL0 protocol-speciÞc TCT Þelds.29.10

Strona 827

29-57 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.3.5 UBR+ Protocol-SpeciÞc TCTEFigure 29-35 shows t

Strona 828

29-58 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.2.3.6 ABR Protocol-SpeciÞc TCTEFigure 29-36 shows th

Strona 829

29-59 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module4Ð6 Ñ Reserved, should be cleared.7 CP-TA Cell loss priorit

Strona 830

1-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview1.7.1.4 Cellular Base StationFigure 1-6 shows a cellular base station conÞguration.F

Strona 831

29-60 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.3 OAM Performance Monitoring TablesThe OAM performan

Strona 832 - command. When the host

29-61 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.4 APC Data StructureThe APC data structure consists

Strona 833

29-62 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-38. ATM Pace Control Data Structure 29.10.4.1 AP

Strona 834

29-63 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.4.2 APC Priority TableEach PHYÕs APC priority table

Strona 835

29-64 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-31 describes control slot Þelds. 29.10.5 ATM Cont

Strona 836 - Specific

29-65 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module Figure 29-41. Transmit Buffers and BD Table Example29.10.5

Strona 837

29-66 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module Figure 29-42. Receive Static Buffer Allocation Example29.1

Strona 838

29-67 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module Figure 29-43. Receive Global Buffer Allocation Example29.1

Strona 839

29-68 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-32 describes free buffer pool entry Þelds.29.10.5.

Strona 840

29-69 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.3 ATM Controller BuffersTable 29-34 describes prop

Strona 841 - 29.10.4 APC Data Structure

MOTOROLA Chapter 1. Overview 1-15Part I. OverviewThe MPC8260 CPM supports a total aggregate throughput of 710 Mbps at 133 MHz. Thisincludes two fu

Strona 842

29-70 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-35 describes AAL5 RxBD Þelds. Table 29-35. AAL5 Rx

Strona 843 - 29.10.4.2 APC Priority Table

29-71 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.5 AAL1 RxBDFigure 29-47 shows the AAL1 RxBD. 0x02

Strona 844

29-72 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-36 describes AAL1 RxBD Þelds.29.10.5.6 AAL0 RxBDF

Strona 845

29-73 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-37 describes AAL0 RxBD Þelds.29.10.5.7 AAL5, AAL1

Strona 846

29-74 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.8 AAL5 TxBDsFigure 29-50 shows the AAL5 TxBD.Offse

Strona 847

29-75 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-38 describes AAL5 TxBD Þelds.Table 29-38. AAL5 TxB

Strona 848

29-76 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.9 AAL1 TxBDsFigure 29-51 shows the AAL1 TxBD. Tabl

Strona 849 - 29.10.5.4 AAL5 RxBD

29-77 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.10 AAL0 TxBDsFigure 29-52 shows AAL0 TxBDs. Note t

Strona 850

29-78 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.10.5.11 AAL5, AAL1 User-DeÞned CellÑTxBD ExtensionIn us

Strona 851 - 29.10.5.5 AAL1 RxBD

29-79 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.11 ATM ExceptionsThe ATM controller interrupt handling

Strona 852 - 29.10.5.6 AAL0 RxBD

1-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overviewnot need to be heavily processed by the core. The CP can store large data frames in t

Strona 853

29-80 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module Figure 29-55. Interrupt Queue Structure29.11.2 Interrupt

Strona 854 - 29.10.5.8 AAL5 TxBDs

29-81 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-42 describes interrupt queue entry Þelds. 29.11.3

Strona 855

29-82 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.12 The UTOPIA InterfaceThe ATM controller interfaces wi

Strona 856 - 29.10.5.9 AAL1 TxBDs

29-83 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.12.1.1 UTOPIA Master Multiple PHY OperationThe cell tra

Strona 857 - 29.10.5.10 AAL0 TxBDs

29-84 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-45 describes UTOPIA slave mode signals.29.12.2.1

Strona 858 - 29.10.7 UNI Statistics Table

29-85 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.12.2.3 UTOPIA Loop-Back ModesThe UTOPIA interface suppo

Strona 859 - 29.11 ATM Exceptions

29-86 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-47 describes FPSMR Þelds.Bits 0 1 2 3 4 5 6 7 8 9

Strona 860 - Figure 29-56 shows an entry

29-87 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module29.13.3 ATM Event Register (FCCE)/Mask Register (FCCM)The

Strona 861

29-88 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 29-48 describes FCCE Þelds.29.13.4 FCC Transmit Inte

Strona 862 - 29.12 The UTOPIA Interface

MOTOROLA Chapter 29. ATM Controller 29-89Part IV. Communications Processor ModuleTable 29-49 describes FTIRRx Þelds. Figure 29-62 shows how trans

Strona 863

MOTOROLA Chapter 1. Overview 1-17Part I. OverviewSerial throughput is enhanced by connecting one MPC8260 in master or slave mode (withsystem core

Strona 864

29-90 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleSee also Section 29.16.1, ÒUsing Transmit Internal Rate Mod

Strona 865 - 29.13 ATM Registers

MOTOROLA Chapter 29. ATM Controller 29-91Part IV. Communications Processor Module29.15 SRTS Generation and Clock Recovery Using External LogicTh

Strona 866

29-92 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 29-65. AAL1 SRTS Clock Recovery Using External Logic

Strona 867

MOTOROLA Chapter 29. ATM Controller 29-93Part IV. Communications Processor ModuleFor example, suppose a system uses a 155.52-Mbps OC-3 device as

Strona 868

29-94 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 869

MOTOROLA Chapter 30. Fast Ethernet Controller 30-1Chapter 30 Fast Ethernet Controller300300The Ethernet IEEE 802.3 protocol is a widely-used LAN b

Strona 870 - 29.14 ATM Transmit Command

30-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleon the LAN. If a collision is detected, the station forces a

Strona 871 - External Logic

MOTOROLA Chapter 30. Fast Ethernet Controller 30-3Part IV. Communications Processor ModuleFigure 30-2. Ethernet Block Diagram 30.2 FeaturesThe fo

Strona 872 - CPM Performance

30-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module¥ Multibuffer data structure¥ Supports 48-bit addresses in t

Strona 873 - 29.16.3 Buffer ConÞguration

MOTOROLA Chapter 30. Fast Ethernet Controller 30-5Part IV. Communications Processor ModuleFigure 30-3. Connecting the MPC8260 to EthernetEach FCC

Strona 874

1-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview

Strona 875 - Fast Ethernet Controller

30-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleframe delimiter, and frame information are sent in that orde

Strona 876

MOTOROLA Chapter 30. Fast Ethernet Controller 30-7Part IV. Communications Processor Module30.5 Ethernet Channel Frame ReceptionThe Ethernet recei

Strona 877 - 30.2 Features

30-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleEthernet controller then waits for a new frame. The Ethernet

Strona 878

MOTOROLA Chapter 30. Fast Ethernet Controller 30-9Part IV. Communications Processor Module30.8 Ethernet Parameter RAMFor Ethernet mode, the proto

Strona 879

30-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x72 PADDR1_H Hword The 48-bit individual address of this s

Strona 880 - GRACEFUL STOP

MOTOROLA Chapter 30. Fast Ethernet Controller 30-11Part IV. Communications Processor Module0xBA MAXD2 Hword Max DMA2 length register (typically 15

Strona 881

30-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module30.9 Programming ModelThe core conÞgures an FCC to operate

Strona 882 - 30.7 CAM Interface

MOTOROLA Chapter 30. Fast Ethernet Controller 30-13Part IV. Communications Processor ModuleReceive commands that apply to Ethernet are described i

Strona 883 - 30.8 Ethernet Parameter RAM

30-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module30.11 RMON SupportThe Fast Ethernet controller can automat

Strona 884

MOTOROLA Chapter 30. Fast Ethernet Controller 30-15Part IV. Communications Processor Module30.12 Ethernet Address RecognitionThe Ethernet control

Strona 885

MOTOROLA Chapter 2. PowerPC Processor Core 2-1Chapter 2 PowerPC Processor Core2020The MPC8260 contains an embedded version of the PowerPC 603eª pr

Strona 886 - 30.10 Ethernet Command Set

30-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 30-4. Ethernet Address Recognition FlowchartCheckAdd

Strona 887 - Table 30-4. Receive Commands

MOTOROLA Chapter 30. Fast Ethernet Controller 30-17Part IV. Communications Processor ModuleIn the physical type of address recognition, the Ethern

Strona 888 - 30.11 RMON Support

30-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleNOTEThe hash tables cannot be used to reject frames that ma

Strona 889

MOTOROLA Chapter 30. Fast Ethernet Controller 30-19Part IV. Communications Processor Module30.17 Ethernet Error-Handling ProcedureThe Ethernet co

Strona 890

30-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module30.18.1 FCC Ethernet Mode Register (FPSMR)In Ethernet mode

Strona 891 - 30.13 Hash Table Algorithm

MOTOROLA Chapter 30. Fast Ethernet Controller 30-21Part IV. Communications Processor Module30.18.2 Ethernet Event Register (FCCE)/Mask Register (

Strona 892 - 30.15 Handling Collisions

30-22 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleaffect bit values. Unmasked FCCE bits must be cleared befor

Strona 893 - Table 30-7. Reception Errors

MOTOROLA Chapter 30. Fast Ethernet Controller 30-23Part IV. Communications Processor ModuleFigure 30-7. Ethernet Interrupt Events ExampleNote that

Strona 894

30-24 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 30-10 describes Ethernet RxBD Þelds.0 1 2 3 4 5 6 7 8

Strona 895

MOTOROLA Chapter 30. Fast Ethernet Controller 30-25Part IV. Communications Processor ModuleData length is the number of octets the CP writes into

Strona 896

MOTOROLA Contents ix CONTENTS ParagraphNumberTitlePageNumber External Signals 6.1 Functional Pinout ...

Strona 897 - 30.19 Ethernet RxBDs

2-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. OverviewFigure 2-1. MPC8260 Integrated Processor Core Block DiagramThe processor core is a sup

Strona 898

30-26 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 30-9. Ethernet Receiving Using RxBDs30.20 Ethernet

Strona 899

MOTOROLA Chapter 30. Fast Ethernet Controller 30-27Part IV. Communications Processor ModuleTable 30-11 describes Ethernet TxBD Þelds.0 1 2 3 4 5 6

Strona 900 - 30.20 Ethernet TxBDs

30-28 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleData length is the number of octets the Ethernet controller

Strona 901

MOTOROLA Chapter 31. FCC HDLC Controller 31-1Chapter 31 FCC HDLC Controller310310Layer 2 of the seven-layer OSI model is the data link layer (DLL)

Strona 902

31-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module31.1 Key FeaturesKey features of the HDLC include the follo

Strona 903 - FCC HDLC Controller

MOTOROLA Chapter 31. FCC HDLC Controller 31-3Part IV. Communications Processor ModuleTo rearrange the transmit queue before the CP has sent all bu

Strona 904 - 31.1 Key Features

31-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module31.4 HDLC Parameter RAMWhen an FCC operates in HDLC mode, t

Strona 905 - GRACEFUL STOP TRANSMIT

MOTOROLA Chapter 31. FCC HDLC Controller 31-5Part IV. Communications Processor ModuleFigure 31-2 shows an example of using HMASK and HADDR[1Ð4]. F

Strona 906 - 31.4 HDLC Parameter RAM

31-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 31-3 describes the receive commands that apply to the

Strona 907 - 31.5 Programming Model

MOTOROLA Chapter 31. FCC HDLC Controller 31-7Part IV. Communications Processor ModuleTable 31-5 describes HDLC reception errors, which are reporte

Strona 908 - 31.5.2 HDLC Error Handling

MOTOROLA Chapter 2. PowerPC Processor Core 2-3Part I. OverviewThe processor core integrates four execution unitsÑan integer unit (IU), a branchproc

Strona 909

31-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe FPSMR Þelds are described in Table 31-6.Bits 0 1 2 3 4 5

Strona 910

MOTOROLA Chapter 31. FCC HDLC Controller 31-9Part IV. Communications Processor Module31.7 HDLC Receive Buffer Descriptor (RxBD)The HDLC controlle

Strona 911

31-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 31-4. FCC HDLC Receiving Using RxBDsBuffer00x002032-

Strona 912

MOTOROLA Chapter 31. FCC HDLC Controller 31-11Part IV. Communications Processor ModuleFigure 31-5 shows the FCC HDLC RxBD.Table 31-7 describes RxB

Strona 913

31-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe RxBD status bits are written by the HDLC controller aft

Strona 914

MOTOROLA Chapter 31. FCC HDLC Controller 31-13Part IV. Communications Processor ModuleTable 31-8 describes HDLC TxBD Þelds.The TxBD status bits ar

Strona 915

31-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe remaining TxBD parameters are as follows:¥ Data length

Strona 916

MOTOROLA Chapter 31. FCC HDLC Controller 31-15Part IV. Communications Processor ModuleFigure 31-8 shows interrupts that can be generated in the HD

Strona 917 - GRACEFUL STOP TRANSMIT

31-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 31-8. HDLC Interrupt Event Example31.10 FCC Status

Strona 918

MOTOROLA Chapter 31. FCC HDLC Controller 31-17Part IV. Communications Processor ModuleTable 31-10 describes FCCS bits.Table 31-10. FCCS Register F

Strona 919

2-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview¥ Four independent execution units and two register ÞlesÑ BPU featuring static branch

Strona 920

31-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module

Strona 921 - FCC Transparent Controller

MOTOROLA Chapter 32. FCC Transparent Controller 32-1Chapter 32 FCC Transparent Controller 320320The FCC transparent controller functions as a high

Strona 922 - 32.1 Features

32-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module32.1 FeaturesThe following is a list of the transparent con

Strona 923 - Field 16-Bit Sync Pattern

MOTOROLA Chapter 32. FCC Transparent Controller 32-3Part IV. Communications Processor Module32.3.1 In-Line Synchronization PatternThe transparent

Strona 924

32-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module32.3.3 Transparent Synchronization ExampleFigure 32-2 shows

Strona 925 - Chapter 33

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-1Chapter 33 Serial Peripheral Interface (SPI)330330The serial peripheral interface (SPI)

Strona 926 - 33.1 Features

33-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module33.1 FeaturesThe following is a list of the SPIÕs main feat

Strona 927

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-3Part IV. Communications Processor Module¥ When the SPI is a slave, SPICLK is the clock

Strona 928

33-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTo start exchanging data, the core writes the data to be sen

Strona 929

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-5Part IV. Communications Processor Moduledrivers of SPI signals. The core must clear SPM

Strona 930 - — 0_0000_0000

MOTOROLA Chapter 2. PowerPC Processor Core 2-5Part I. Overview¥ Integrated power managementÑ Three power-saving modes: doze, nap, and sleepÑ Automa

Strona 931 - NOTE: Q = Undefined Signal

33-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe maximum sustained data rate that the SPI supports is SYS

Strona 932

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-7Part IV. Communications Processor ModuleFigure 33-5. SPI Transfer Format with SPMODE[CP

Strona 933

33-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module33.4.1.1 SPI Examples with Different SPMODE[LEN] ValuesThe

Strona 934 - 33.5 SPI Parameter RAM

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-9Part IV. Communications Processor Modulethe data string selected is:msb r_stuv__ghij_kl

Strona 935

33-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 33-4 describes the SPCOM Þelds.33.5 SPI Parameter RA

Strona 936 - 33.6 SPI Commands

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-11Part IV. Communications Processor Module0x06 MRBLR Hword Maximum receive buffer length

Strona 937 - PARAMETERS

33-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module33.5.1 Receive/Transmit Function Code Registers (RFCR/TFCR

Strona 938 - Figure 33-11. SPI RxBD

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-13Part IV. Communications Processor Module33.7 The SPI Buffer Descriptor (BD) TableAs s

Strona 939 - Figure 33-12. SPI TxBD

33-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Modulethan 8 bits, the data length should be even. For example, t

Strona 940

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-15Part IV. Communications Processor Module33.7.1.2 SPI Transmit BD (TxBD)Data to be sen

Strona 941

2-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overvieware dispatched to their respective execution units from the dispatch unit at a maximum

Strona 942

33-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module33.8 SPI Master Programming ExampleThe following sequence

Strona 943 - C Controller

MOTOROLA Chapter 33. Serial Peripheral Interface (SPI) 33-17Part IV. Communications Processor Module8. Initialize the TxBD. Assume the Tx buffer i

Strona 944 - 34.1 Features

33-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Moduleremains open. If the master sends 5 or more bytes, the TxBD

Strona 945 - C Controller Transfers

MOTOROLA Chapter 34. I2C Controller 34-1Chapter 34 I2C Controller340340The inter-integrated circuit (I2C¨) controller lets the MPC8260 exchange da

Strona 946 - C Master Write Timing

34-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleThe I2C receiver and transmitter are double-buffered, which

Strona 947 - C Multi-Master Considerations

MOTOROLA Chapter 34. I2C Controller 34-3Part IV. Communications Processor ModuleWhen the I2C controller is master, the SCL clock output, taken dir

Strona 948 - C Registers

34-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module34.3.1 I2C Master Write (Slave Read)If the MPC8260 is the m

Strona 949 - 34.4.3 I

MOTOROLA Chapter 34. I2C Controller 34-5Part IV. Communications Processor ModuleIf the MPC8260 is the slave target of the read, prepare the I2C tr

Strona 950 - C Command Register (I2COM)

34-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleAn MPC8260 I2C controller attempting a master read request c

Strona 951 - C Parameter RAM

MOTOROLA Chapter 34. I2C Controller 34-7Part IV. Communications Processor Module34.4.2 I2C Address Register (I2ADD)The I2C address register, show

Strona 952 - Table 34-6. I

MOTOROLA Chapter 2. PowerPC Processor Core 2-7Part I. Overview2.2.4.2 Load/Store Unit (LSU)The LSU executes all load and store instructions and pr

Strona 953 - C Commands

34-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 34-3 describes I2BRG Þelds. 34.4.4 I2C Event/Mask Reg

Strona 954 - 34.7 The I

MOTOROLA Chapter 34. I2C Controller 34-9Part IV. Communications Processor ModuleTable 34-5 describes I2COM Þelds.34.5 I2C Parameter RAMThe I2C co

Strona 955 - 34.7.1.1 I

34-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module0x06 MRBLR Hword Maximum receive buffer length. DeÞnes the

Strona 956 - 34.7.1.2 I

MOTOROLA Chapter 34. I2C Controller 34-11Part IV. Communications Processor ModuleFigure 34-11 shows the RFCR/TFCR bit Þelds.Table 34-7 describes t

Strona 957 - Parallel I/O Ports

34-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module34.7 The I2C Buffer Descriptor (BD) TableAs shown in Figur

Strona 958 - 35.2 Port Registers

MOTOROLA Chapter 34. I2C Controller 34-13Part IV. Communications Processor Module34.7.1.1 I2C Receive Buffer Descriptor (RxBD)Using RxBDs, the CP

Strona 959

34-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module34.7.1.2 I2C Transmit Buffer Descriptor (TxBD)Transmit dat

Strona 960

MOTOROLA Chapter 35. Parallel I/O Ports 35-1Chapter 35 Parallel I/O Ports350350The CPM supports four general-purpose I/O portsÑports A, B, C, and

Strona 961

35-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module35.2 Port Registers Each port has four memory-mapped, read/

Strona 962 - 35.4 Port Pins Functions

MOTOROLA Chapter 35. Parallel I/O Ports 35-3Part IV. Communications Processor Moduleto PDATx is still stored in the output latch, but is prevented

Strona 963 - 35.5 Ports Tables

2-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview2.2.6 Memory Subsystem SupportThe processor core supports cache and memory management

Strona 964

35-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module35.2.4 Port Pin Assignment Register (PPAR)The port pin assi

Strona 965

MOTOROLA Chapter 35. Parallel I/O Ports 35-5Part IV. Communications Processor ModulePSOR bits are effective only if the corresponding PPARx[DDx] =

Strona 966

35-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module35.3 Port Block DiagramFigure 35-6 shows the functional blo

Strona 967

MOTOROLA Chapter 35. Parallel I/O Ports 35-7Part IV. Communications Processor Module35.4.1 General Purpose I/O PinsEach one of the port pins is i

Strona 968

35-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleFigure 35-7. Primary and Secondary Option ProgrammingIn the

Strona 969

MOTOROLA Chapter 35. Parallel I/O Ports 35-9Part IV. Communications Processor ModulePA25 FCC1: TxD[0] UTOPIA 8FCC1: TxD[8] UTOPIA 16MSNUM[0]1PA2

Strona 970

35-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModulePA18 FCC1: TxD[7] UTOPIA 8FCC1: TxD[15] UTOPIA 16FCC1: Tx

Strona 971

MOTOROLA Chapter 35. Parallel I/O Ports 35-11Part IV. Communications Processor ModulePA12 FCC1: RxD[2] UTOPIA 8FCC1: RxD[10] UTOPIA 16GND MSNUM[

Strona 972

35-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 35-6 shows the port B pin assignments.Table 35-6. Por

Strona 973

MOTOROLA Chapter 35. Parallel I/O Ports 35-13Part IV. Communications Processor ModulePB20 FCC2: RxD[6] UTOPIA 8FCC2: RxD[1] MII/HDLC/transp. nib

Strona 974

MOTOROLA Chapter 2. PowerPC Processor Core 2-9Part I. Overviewenvironment architecture (OEA), as well as the MPC8260 core implementation-speciÞcreg

Strona 975 - 35.6 Interrupts from Port C

35-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModuleTable 35-7 shows the port C pin assignments.PB7 FCC3: TXD[0

Strona 976

35-15 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModulePC26 Timer3: TOUT CLK6 GND TMCLK real-time counterBRGO1

Strona 977 - Appendix A

35-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModulePC10 FCC1: TxD[2]UTOPIA 16 SCC3: CD SCC3: RENA EthernetGND

Strona 978 - Appendixes

MOTOROLA Chapter 35. Parallel I/O Ports 35-17Part IV. Communications Processor ModuleTable 35-8 shows the port D pin assignments.Table 35-8. Port

Strona 979 - A.3 MPC8260-SpeciÞc SPRs

35-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor ModulePD20 SCC4: RTS SCC4: TENAEthernetFCC1: RxD[2] UTOPIA 16GND

Strona 980

MOTOROLA Chapter 35. Parallel I/O Ports 35-19Part IV. Communications Processor Module35.6 Interrupts from Port CThe port C lines associated with

Strona 981 - Numerics

35-20 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart IV. Communications Processor Module4. Write the corresponding SIMR (mask register) bit with a

Strona 982 - ATM TRANSMIT command, 29-90

MOTOROLA Appendix A. Register Quick Reference Guide A-1Appendix ARegister Quick Reference GuideA0A0This section provides a brief guide to the core r

Strona 983

A-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAAppendixesA.2 PowerPC RegistersÑSupervisor RegistersAll supervisor-level registers implemented on the

Strona 984

MOTOROLA Appendix A. Register Quick Reference Guide A-3AppendixesA.3 MPC8260-SpeciÞc SPRsTable A-2 and Table A-5 list SPRs speciÞc to the MPC8260.

Strona 985

2-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAPart I. Overview Figure 2-2. MPC8260 Programming ModelÑRegistersDSISRSPR 18DSISRData Address Register

Strona 986

A-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAAppendixes

Strona 987

MOTOROLA Index Index-1INDEXNumerics603e features list, 2-360x bus60x-compatible mode60x-compatible bus mode, 8-3address latch enable (ALE), 10-11BUFC

Strona 988

Index-2 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXVBR traffic, 29-12ATM TRANSMIT command, 29-90ATM-to-ATM data forwarding, 29-37ATM-to-TDM inte

Strona 989

MOTOROLA Index Index-3INDEXsystem interface unit (SIU)periodic interrupt timer, 4-5SIU block diagram, 4-1software watchdog timer, 4-7system configura

Strona 990

Index-4 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXCommunications processor (CP)block diagram, 13-5execution from RAM, 13-7features list, 13-4in

Strona 991

MOTOROLA Index Index-5INDEXcommand register example, 13-15CPCR, 13-11opcodes, 13-13overview, 13-11communications processor (CP)block diagram, 13-5exe

Strona 992

Index-6 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXmaster write (slave read), 34-4multi-master considerations, 34-5parameter RAM, 34-9programmin

Strona 993

MOTOROLA Index Index-7INDEXoverview, 18-1PDTEA, 18-4PDTEM, 18-4programming model, 18-3registers, 18-3SDMR, 18-4SDSR, 18-3serial configuration, 13-3se

Strona 994

Index-8 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXCxTx (chip-select signals), 10-74DDCM (IDMA channel mode), 18-18Digital phase-locked loop (DP

Strona 995

MOTOROLA Index Index-9INDEXFPSMRx, 28-7FTODRx, 28-7GFMRx, 28-3initialization, 28-14interrupt handling, 28-15interrupts, 28-13overview, 28-2parameter

Strona 996

MOTOROLA Chapter 2. PowerPC Processor Core 2-11Part I. OverviewAlthough the MPC8260 does not support ßoating-point arithmetic instructions, the FPR

Strona 997

Index-10 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXHHDLC modeaccessing the bus, 21-19bus controller, 21-17collision detection, 21-17, 21-20comm

Strona 998

MOTOROLA Index Index-11INDEXIDSR (IDMA event (status) register), 18-22IEEE 1149.1 test access portblock diagram, 12-2boundary scan register, 12-3inst

Strona 999

Index-12 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXprogramming model, 10-13PSDVAL, 10-12, 10-57register descriptions, 10-13SDRAM machine (synch

Strona 1000

MOTOROLA Index Index-13INDEXserial peripheral interace (SPI)master mode, 33-3slow go, 17-2transparent modeoverview, 32-1serial communications control

Strona 1001

Index-14 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXPPC_ALRH (60x bus arbitration high-level register), 4-28PPC_ALRL (60x bus arbitration low-le

Strona 1002

MOTOROLA Index Index-15INDEXSCCE, 21-12SCCM, 21-12SCCS, 21-14I2C controllerI2ADD, 34-7I2BRG, 34-7I2CER, 34-8I2CMR, 34-8I2COM, 34-8I2MOD, 34-6IDMA emu

Strona 1003

Index-16 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXTxBD, 26-33serial peripheral interface (SPI)SPCOM, 33-9SPIE, 33-9SPIM, 33-9SPMODE, 33-6syste

Strona 1004

MOTOROLA Index Index-17INDEXtransparent mode, 23-12UART mode, 20-19SCCE registerEthernet mode, 24-21SCCM (SCC mask) registerBISYNC mode, 22-15HDLC mo

Strona 1005 - Attention!

Index-18 MPC8260 PowerQUICC II UserÕs Manual MOTOROLAINDEXframe reception, 23-2frame transmission, 23-2inherent synchronization, 23-6in-line synchroni

Strona 1006

MOTOROLA Index Index-19INDEXSerial peripheral interface (SPI)block diagram, 33-1clocking and pin functions, 33-2commands, 33-12configuring the SPI, 3

Komentarze do niniejszej Instrukcji

Brak uwag